Skip to main content Accessibility help
×
Hostname: page-component-848d4c4894-4rdrl Total loading time: 0 Render date: 2024-07-01T18:58:42.453Z Has data issue: false hasContentIssue false

2 - FinFETs: from devices to architectures

Published online by Cambridge University Press:  05 August 2015

Debajit Bhattacharya
Affiliation:
Princeton University, Princeton, New Jersey, USA
Niraj K. Jha
Affiliation:
Princeton University, Princeton, New Jersey, USA
Xicheng Jiang
Affiliation:
Broadcom, Irvine
Get access

Summary

Since Moore's Law driven scaling of planar MOSFETs faces formidable challenges in the nanometer regime, FinFETs and Trigate FETs have emerged as their successors. Owing to the presence of multiple (two/three) gates, FinFETs/Trigate FETs are able to tackle short-channel effects (SCEs) better than conventional planar MOSFETs at deeply-scaled technology nodes and thus enable continued transistor scaling. In this chapter, we review research on FinFETs from the bottommost device level to the topmost architecture level. We survey different types of FinFETs, various possible FinFET asymmetries and their impact, and novel logic-level and architecture-level trade-offs offered by FinFETs. We also review analysis and optimization tools that are available for characterizing FinFET devices, circuits, and architectures.

Introduction

Relentless scaling of planar MOSFETs over the past four decades has delivered ever-increasing transistor density and performance to integrated circuits (ICs). However, continuing this trend in the nanometer regime is very challenging due to the drastic increase in the subthreshold leakage current (Ioff) [1–3]. Due to the very narrow channel lengths in deeply-scaled MOSFETs, the drain potential begins to influence the electrostatics of the channel and, consequently, the gate loses adequate control over the channel. As a result, the gate is unable to shut off the channel completely in the off-mode of operation, which leads to an increased Ioff between the drain and the source. The use of thinner gate oxides and high-κ dielectric materials helps alleviate this problem by increasing the gate-channel capacitance. However, thinning of gate oxides is fundamentally limited by the deterioration in gate leakage and gate-induced drain leakage (GIDL) [4–6]. Multiple-gate field-effect transistors (MGFETs), which are an alternative to planar MOSFETs, demonstrate better screening of the drain potential from the channel due to the proximity of the additional gate(s) to the channel (i.e., higher gate-channel capacitance) [7–12]. This makes MGFETs superior to planar MOSFETs in short-channel performance metrics, such as subthreshold slope (S), drain-induced barrier lowering (DIBL), and threshold voltage (Vth) roll-off. Improvement in these metrics implies less degradation in the transistor's Vth with continued scaling, which in turn implies less degradation in Ioff.

So far, we have referred to planar MOSFETs built on bulk-Si wafers (or bulk MOSFETs) as planar MOSFETs. Fully depleted silicon-on-insulator (FDSOI) MOSFETs (planar MOSFETs built atop SOI wafers) avoid the extra leakage paths from the drain to source by getting rid of the extra substrate beneath the channel [13, 14].

Type
Chapter
Information
Publisher: Cambridge University Press
Print publication year: 2015

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

[1] Kuhn, K. J., “CMOS scaling for the 22nm node and beyond: Device physics and technology,” in Proc. Int. Symp. VLSI Technol., pp. 1–2, Apr. 2011.
[2] Roy, K., Mukhopadhyay, S., and Mahmoodi-Meimand, H., “Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits,” Proc. IEEE, vol. 91, no. 2, pp. 305–327, Feb. 2003.CrossRefGoogle Scholar
[3] Frank, D. J., Dennard, R. H., Nowak, E., Solomon, P. M., Taur, Y., and Wong, H.-S. P., “Device scaling limits of Si MOSFETs and their application dependencies,” Proc. IEEE, vol. 89, no. 3, pp. 259–288, Mar. 2001.CrossRefGoogle Scholar
[4] Hu, C., “Gate oxide scaling limits and projection,” in Proc. Int. Electron Devices Mtg., pp. 319–322, Dec. 1996.
[5] Yeo, Y. C., King, T.-J., and Hu, C., “MOSFET gate leakage modeling and selection guide for alternative gate dielectrics based on leakage considerations,” IEEE Trans. Electron Devices, vol. 50, no. 4, pp. 1027–1035, Apr. 2003.Google Scholar
[6] Chen, J., Chan, T. Y., Chen, I. C., Ko, P. K., and Hu, C., “Subbreakdown drain leakage current in MOSFET,” IEEE Electron Device Lett., vol. 8, no. 11, pp. 515–517, Nov. 1987.CrossRefGoogle Scholar
[7] (2011) International Technology Roadmap for Semiconductors [online]. Available at: http://www.itrs.net.
[8] Skotnicki, T., Hutchby, J. A., King, T.-J., Wong, H.-S. P., and Boeuf, F., “The end of CMOS scaling: toward the introduction of new materials and structural changes to improve MOSFET performance,” IEEE Circuits Devices Mag., vol. 21, no. 1, pp. 16–26, Jan.-Feb. 2005.CrossRefGoogle Scholar
[9] Wong, H.-S. P., Frank, D. J., and Solomon, P. M., “Device design considerations for double-gate, ground-plane, and single-gated ultra-thin SOI MOSFET's at the 25 nm channel length generation,” in Proc. Int. Electron Devices Mtg., pp. 407–410, Dec. 1998.
[10] Solomon, P. M., Guarini, K. W., Zhang, Y., et al., “Two gates are better than one [double-gate MOSFET process],” IEEE Circuits Devices Mag., vol. 19, no. 1, pp. 48–62, Jan. 2003.CrossRefGoogle Scholar
[11] Suzuki, K., Tanaka, T., Tosaka, Y., Horie, H., and Arimoto, Y., “Scaling theory for double-gate SOI MOSFET's,” IEEE Trans. Electron Devices, vol. 40, no. 12, pp. 2326–2329, Dec. 1993.CrossRefGoogle Scholar
[12] Nowak, E. J., Aller, I., Ludwig, T., et al., “Turning silicon on its edge [double gate CMOS/FinFET technology],” IEEE Circuits Devices Mag., vol. 20, no. 1, pp. 20–31, Jan.-Feb. 2004.CrossRefGoogle Scholar
[13] Yan, R. H., Ourmazd, A., and Lee, K. F., “Scaling the Si MOSFET: From bulk to SOI to bulk,” IEEE Trans. Electron Devices, vol. 39, no. 7, pp. 1704–1710, Jul. 1992.CrossRefGoogle Scholar
[14] Choi, Y. K., Asano, K., Lindert, N., Subramanian, V., King, T.-J., Bokor, J., and Hu, C., “Ultrathin-body SOI MOSFET for deep-sub-tenth micron era,” IEEE Electron Device Lett., vol. 21, no. 5, pp. 254–255, May 2000.Google Scholar
[15] Doris, B., Cheng, K., Khakifirooz, A., Liu, Q., and Vinet, M., “Device design considerations for next generation CMOS technology: Planar FDSOI and FinFET (invited),” in Proc. Int. Symp. VLSI Technol., pp. 1–2, Apr. 2013.
[16] Hu, C., “New sub-20nm transistors; why and how,” in Proc. Design Automation Conf., pp. 460–463, Jun. 2011.
[17] Markoff, J.. (2012, Oct.) TSMC taps ARM's V8 on road to 16-nm FinFET [online]. Available at: http://www.eetimes.com/electronics-news/4398727/TSMC-taps-ARM-V8-in-road-to-16-nm-FinFET.
[18] McGrath, D.. (2012, Sept.) Globalfoundries looks to leapfrog fab rival [online]. Available at: http://www.eetimes.com/electronics-news/4396720/Globalfoundries-to-offer-14-nm-process-with-FinFETs-in-2014.
[19] Hisamoto, D., Lee, W.-C., Kedzierski, J., et al., “FinFET – a self-aligned double-gate MOSFET scalable to 20 nm,” IEEE Trans. Electron Devices, vol. 47, no. 12, pp. 2320–2325, Dec. 2000.Google Scholar
[20] Yu, B., Chang, L., Ahmed, S., et al., “FinFET scaling to 10 nm gate length,” in Proc. Int. Electron Devices Mtg., pp. 251–254, Dec. 2002.
[21] Tang, S., Chang, L., Lindert, N., et al., “FinFET – a quasi-planar double-gate MOSFET,” in Proc. Int. Solid-State Circuits Conf., pp. 118–119, Feb. 2001.
[22] Guillorn, M., Chang, J., Bryant, A., et al., “FinFET performance advantage at 22nm: An AC perspective,” in Proc. Symp. VLSI Technol., pp. 12–13, Jun. 2008.
[23] Yang, F.-L., Lee, D.-H., Chen, H.-Y., et al., “5nm-gate nanowire FinFET,” in Proc. Int. Symp. VLSI Technology, pp. 196–197, Jun. 2004.
[24] Huang, X., Lee, W.-C., Kuo, C., et al., “Sub 50-nm FinFET: PMOS,” in Proc. Int. Electron Devices Mtg., pp. 67–70, Dec. 1999.
[25] Colinge, J.-P., FinFETs and Other Multi-Gate Transistors. New York: Springer, 2008.CrossRefGoogle Scholar
[26] King, T.-J., “FinFETs for nanoscale CMOS digital integrated circuits,” in Proc. Int. Conf. Comput.-Aided Design, pp. 207–210, Nov. 2005.
[27] Chang, J. B., Guillorn, M., Solomon, P. M., et al., “Scaling of SOI FinFETs down to fin width of 4 nm for the 10nm technology node,” in Proc. Int. Symp. VLSI Technology, Syst. Appl., pp. 12–13, Jun. 2011.
[28] Auth, C., “22-nm fully-depleted tri-gate CMOS transistors,” in Proc. Custom Integrated Circuits Conf., pp. 1–6, Sept. 2012.
[29] Lin, C.-H., Chang, J., Guillorn, M., Bryant, A., Oldiges, P., and Haen-sch, W., “Non-planar device architecture for 15nm node: FinFET or Tri-gate?” in Proc. Int. SOI Conf., pp. 1–2, Oct. 2010.
[30] Lee, K., An, T., Joo, S., Kwon, K.-W., and Kim, S., “Modeling of parasitic fringing capacitance in multifin trigate FinFETs,” IEEE Trans. Electron Devices, vol. 60, no. 5, pp. 1786–1789, May 2013.CrossRefGoogle Scholar
[31] Gu, J., Keane, J., Sapatnekar, S., and Kim, C. H., “Statistical leakage estimation of double gate FinFET devices considering the width quantization property,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 16, no. 2, pp. 206–209, Feb. 2008.CrossRefGoogle Scholar
[32] Ha, D., Takeuchi, H., Choi, Y.-K., and King, T.-J., “Molybdenum gate technology for ultrathin-body MOSFETs and FinFETs,” IEEE Trans. Electron Devices, vol. 51, no. 12, pp. 1989–1996, Dec. 2004.CrossRefGoogle Scholar
[33] Sairam, T., Zhao, W., and Cao, Y., “Optimizing FinFET technology for high-speed and low-power design,” in Proc. ACM Great Lakes Symp. on VLSI, pp. 73–77, 2007.
[34] Muttreja, A., Mishra, P., and Jha, N. K., “Threshold voltage control through multiple supply voltages for power-efficient FinFET interconnects,” in Proc. Int. Conf. VLSI Design, 2008, pp. 220–227.
[35] Mishra, P., Muttreja, A., and Jha, N. K., “Low-power FinFET circuit synthesis using multiple supply and threshold voltages,” ACM J. Emerging Technologies in Computing Systems, vol. 5, no. 2, Jul. 2009.CrossRefGoogle Scholar
[36] Mishra, P. and Jha, N. K., “Low-power FinFET circuit synthesis using surface orientation optimization,” in Proc. Design Automation & Test in Europe Conf., Mar. 2010, pp. 311–314.
[37] Chaudhuri, S., Mishra, P., and Jha, N. K., “Accurate leakage estimation for FinFET standard cells using the response surface methodology,” in Proc. Int. Conf. VLSI Design, Jan. 2012, pp. 238–244.
[38] Muttreja, A., Agarwal, N., and Jha, N. K., “CMOS logic design with independent-gate FinFETs,” in Proc. Int. Conf. Computer Design, Oct. 2007, pp. 560–567.
[39] Agostinelli, M., Alioto, M., Esseni, D., and Selmi, L., “Leakage-delay tradeoff in FinFET logic circuits: A comparative analysis with bulk technology,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 18, no. 2, pp. 232–245, Feb. 2010.CrossRefGoogle Scholar
[40] Rostami, M. and Mohanram, K., “Dual-Vth independent-gate FinFETs for low power logic circuits,” IEEE Trans. Computer-Aided Design, vol. 30, no. 3, pp. 337–349, Mar. 2011.CrossRefGoogle Scholar
[41] Datta, A., Goel, A., Cakici, R. T., Mahmoodi, H., Lekshmanan, D., and Roy, K., “Modeling and circuit synthesis for independently controlled double gate FinFET devices,” IEEE Trans. Computer-Aided Design, vol. 26, no. 11, pp. 1957–1966, Nov. 2007.CrossRefGoogle Scholar
[42] Zhang, W., Fossum, J., Mathew, L., and Du, Y., “Physical insights regarding design and performance of independent-gate FinFETs,” IEEE Trans. Electron Devices, vol. 52, no. 10, pp. 2198–2206, Oct. 2005.CrossRefGoogle Scholar
[43] Lin, C.-H., Haensch, W., Oldiges, P., et al., “Modeling of width-quantization-induced variations in logic FinFETs for 22nm and beyond,” in Proc. Int. Symp. VLSI Technology, Syst. Appl., Jun. 2011, pp. 16–17.
[44] Thakker, R. A., Sathe, C., Sachid, A. B., Baghini, M. S., Rao, V. R., and Patil, M. B., “A novel table-based approach for design of FinFET circuits,” IEEE Trans. Computer-Aided Design, vol. 28, no. 7, pp. 1061–1070, Jul. 2009.CrossRefGoogle Scholar
[45] Agostinelli, M., Alioto, M., Esseni, D., and Selmi, L., “Design and evaluation of mixed 3T-4T FinFET stacks for leakage reduction,” in Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, Svensson, L. and Monteiro, J., Ed. Berlin: Springer, 2009, pp. 31–41.Google Scholar
[46] Ouyang, J. and Xie, Y., “Power optimization for FinFET-based circuits using genetic algorithms,” in Proc. Int. SOC Conf., Sept. 2008, pp. 211–214.
[47] Swahn, B. and Hassoun, S., “Gate sizing: FinFETs vs. 32nm bulk MOSFETs,” in Proc. Design Automation Conf., Jul. 2006, pp. 528–531.
[48] Bhoj, A. N., Simsir, M. O., and Jha, N. K., “Fault models for logic circuits in the multigate era,” IEEE Trans. Nanotechnology, vol. 11, no. 1, pp. 182–193, Jan. 2012.CrossRefGoogle Scholar
[49] Bhoj, A. N. and Jha, N. K., “Design of logic gates and flip-flops in high-performance FinFET technology,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 21, no. 11, pp. 1975–1988, Nov. 2013.CrossRefGoogle Scholar
[50] Tawfik, S. A. and Kursun, V., “Characterization of new static independent-gate-biased FinFET latches and flip-flops under process variations,” in Proc. Int. Symp. Qual. Electron. Design, Mar. 2008, pp. 311–316.
[51] Tawfik, S. A. and Kursun, V., “Low-power and compact sequential circuits with independent-gate FinFETs,” IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 60–70, Jan. 2008.CrossRefGoogle Scholar
[52] Bansal, A., Mukhopadhyay, S., and Roy, K., “Device-optimization technique for robust and low-power FinFET SRAM design in nanoscale era,” IEEE Trans. Electron Devices, vol. 54, no. 6, pp. 1409–1419, Jun. 2007.CrossRefGoogle Scholar
[53] Bhoj, A. N. and Joshi, R. V., “Transport-analysis-based 3-D TCAD capacitance extraction for sub-32-nm SRAM structures,” IEEE Electron Device Letters, vol. 33, no. 2, pp. 158–160, Feb. 2012.CrossRefGoogle Scholar
[54] Bhoj, A. N., Joshi, R. V., and Jha, N. K., “Efficient methodologies for 3D-TCAD modeling of emerging devices and circuits,” IEEE Trans. Computer-Aided Design, vol. 32, no. 1, pp. 47–58, Jan. 2013.CrossRefGoogle Scholar
[55] Bhoj, A. N. and Jha, N. K., “Parasitics-aware design of symmetric and asymmetric gate-workfunction FinFET SRAMs,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 22, no. 3, pp. 548–561, Mar. 2014.CrossRefGoogle Scholar
[56] Endo, K., O'uchi, S., Matsukawa, T., Liu, Y., and Masahara, M., “Independent double-gate FinFET SRAM technology,” in Proc. Int. Nanoelectronics Conf., Jun. 2011, pp. 1–2.
[57] Goel, A., Gupta, S. K., and Roy, K., “Asymmetric drain spacer extension (ADSE) FinFETs for low-power and robust SRAMs,” IEEE Trans. Electron Devices, vol. 58, no. 2, pp. 296–308, Feb. 2011.CrossRefGoogle Scholar
[58] Moradi, F., Gupta, S. K., Panagopoulos, G., Wisland, D. T., Mahmoodi, H., and Roy, K., “Asymmetrically doped FinFETs for low-power robust SRAMs,” IEEE Trans. Electron Devices, vol. 58, no. 12, pp. 4241–4249, Dec. 2011.CrossRefGoogle Scholar
[59] Guo, Z., Balasubramanian, S., Zlatanovici, R., King, T.-J., and Nikolic, B., “FinFET-based SRAM design,” in Proc. Int. Symp. Low Power Electronic Design, Aug. 2005, pp. 2–7.
[60] Carlson, A., Guo, Z., Balasubramanian, S., Zlatanovici, R., Liu, T.-J. K., and Nikolic, B., “SRAM read/write margin enhancements using FinFETs,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 18, no. 6, pp. 887–900, Jun. 2010.CrossRefGoogle Scholar
[61] Sachid, A. B. and Hu, C., “Denser and more stable SRAM using FinFETs with multiple fin heights,” IEEE Trans. Electron Devices, vol. 59, no. 8, pp. 2037–2041, Aug. 2012.CrossRefGoogle Scholar
[62] Tawfik, S. A., Liu, Z., and Kursun, V., “Independent-gate and tied-gate FinFET SRAM circuits: Design guidelines for reduced area and enhanced stability,” in Proc. Int. Conf. Microelectronics, Dec. 2007, pp. 171–174.
[63] Bhoj, A. N., Joshi, R. V., Polonsky, S., Kanj, R., Saroop, S., Tan, Y., and Jha, N. K., “Hardware-assisted 3D TCAD for predictive capacitance extraction in 32nm SOI SRAMs,” in Proc. Int. Electron Devices Mtg., Dec. 2011, pp. 34.7.1–34.7.4.
[64] Joshi, R. V., Kim, K., and Kanj, R., “FinFET SRAM design,” in Proc. Int. Conf. VLSI Design, Jan. 2010, pp. 440–445.
[65] Joshi, R. V., Kim, K., Williams, R. Q., Nowak, E., and Chuang, C.-T., “A high-performance, low leakage, and stable SRAM row-based back-gate biasing scheme in FinFET technology,” in Proc. Int. Conf. VLSI Design, Jan. 2007, pp. 665–672.
[66] Bhoj, A. N., Joshi, R. V., and Jha, N. K., “3-D-TCAD-based parasitic capacitance extraction for emerging multigate devices and circuits,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 21, no. 11, pp. 2094–2105, Nov. 2013.CrossRefGoogle Scholar
[67] Lee, C.-Y. and Jha, N. K., “CACTI-FinFET: An integrated delay and power modeling framework for FinFET-based caches under process variations,” in Proc. Design Automation Conf., Jun. 2011, pp. 866–871.
[68] Lee, C.-Y. and Jha, N. K., “FinFET-based power simulator for interconnection networks,” ACM J. Emerging Technologies in Computing Systems, vol. 6, no. 1, pp. 2:1–2:18, Mar. 2008.Google Scholar
[69] Lee, C.-Y. and Jha, N. K., “FinCANON: A PVT-aware integrated delay and power modeling framework for FinFET-based caches and on-chip networks,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 22, no. 5, May 2014.Google Scholar
[70] Lee, C.-Y. and Jha, N. K., “Variable-pipeline-stage router,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 21, no. 9, pp. 1669–1682, Sept. 2013.CrossRefGoogle Scholar
[71] Tang, A., Yang, Y., Lee, C.-Y., and Jha, N. K., “McPAT-PVT: Delay and power modeling framework for FinFET processor architectures under PVT variations,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, 2014.
[72] Chen, X. and Jha, N. K., “Ultra-low-leakage chip multiprocessor design with hybrid FinFET logic styles,” ACM J. Emerging Technologies in Computing Systems, vol. 11, no. 1, Sept. 2014.CrossRefGoogle Scholar
[73] Tang, A. and Jha, N. K., “Thermal characterization of test techniques for FinFET and 3D integrated circuits,” ACM J. Emerging Technologies in Computing Systems, vol. 9, no. 1, pp. 6:1–6:16, Feb. 2013.CrossRefGoogle Scholar
[74] Tang, A. and Jha, N. K., “Design space exploration of FinFET cache,” ACM J. Emerging Technologies in Computing Systems, vol. 9, no. 3, pp. 20:1–20:16, Oct. 2013.CrossRefGoogle Scholar
[75] Mishra, P., Muttreja, A., and Jha, N. K., “FinFET circuit design,” in Nanoelectronic Circuit Design, Jha, N. K. and Chen, D., Ed. New York: Springer, 2011, pp. 23–54.Google Scholar
[76] Hisamoto, D., Kaga, T., Kawamoto, Y., and Takeda, E., “A fully depleted lean-channel transistor (DELTA) – a novel vertical ultra thin SOI MOSFET,” in Proc. Int. Electron Devices Mtg., Dec. 1989, pp. 833–836.
[77] Alioto, M., “Comparative evaluation of layout density in 3T, 4T, and MT FinFET standard cells,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, vol. 19, no. 5, pp. 751–762, May 2011.CrossRefGoogle Scholar
[78] Collaert, N., Demand, M., Ferain, I., et al., “Tall triple-gate devices with TiN/HfO2 gate stack,” in Proc. Symp. VLSI Technology, Jun. 2005, pp. 108–109.
[79] Park, T.-S., Cho, H.-J., Choe, J.-D., et al., “Characteristics of the full CMOS SRAM cell using body-tied TG MOSFETs (bulk FinFETs),” IEEE Trans. Electron Devices, vol. 53, no. 3, pp. 481–487, Mar. 2006.Google Scholar
[80] Kawasaki, H., Okano, K., Kaneko, A., et al., “Embedded bulk FinFET SRAM cell technology with planar FET peripheral circuit for hp32 nm node and beyond,” in Proc. Symp. VLSI Technology, 2006, pp. 70–71.
[81] Kim, S.-Y. and Lee, J. H., “Hot carrier-induced degradation in bulk FinFETs,” IEEE Electron Device Letters, vol. 26, no. 8, pp. 566–568, Aug. 2005.Google Scholar
[82] Markoff, J.. (2011, May) Intel increases transistor speed by building upward [online]. Available at: http://www.nytimes.com/2011/05/05/science/05chip.html.
[83] Yang, J.-W. and Fossum, J. G., “On the feasibility of nanoscale triple-gate CMOS transistors,” IEEE Trans. Electron Devices, vol. 52, no. 6, pp. 1159–1164, Jun. 2005.CrossRefGoogle Scholar
[84] Chang, L., Ieong, M., and Yang, M., “CMOS circuit performance enhancement by surface orientation optimization,” IEEE Trans. Electron Devices, vol. 51, no. 10, pp. 1621–1627, 2004.CrossRefGoogle Scholar
[85] Kang, M., Song, S. C., Woo, S. H., et al., “FinFET SRAM optimization with fin thickness and surface orientation,” IEEE Trans. Electron Devices, vol. 57, no. 11, pp. 2785–2793, Nov. 2010.CrossRefGoogle Scholar
[86] Kedzierski, J., Fried, D. M., Nowak, E. J., et al., “High-performance symmetric-gate and CMOS-compatible Vt asymmetric-gate FinFET devices,” in Proc. Int. Electron Devices Mtg., Dec. 2001, pp. 19.5.1–19.5.4.
[87] Mathew, L., Sadd, M., White, B. E., et al., “FinFET with isolated n+ and p+ gate regions strapped with metal and polysilicon,” in Proc. Int. SOI Conf., Sept. 2003, pp. 109–110.
[88] Masahara, M., Surdeanu, R., Witters, L., et al., “Demonstration of asymmetric gate-oxide thickness four-terminal FinFETs having flexible threshold voltage and good subthreshold slope,” IEEE Electron Device Lett., vol. 28, no. 3, pp. 217–219, Mar. 2007.CrossRefGoogle Scholar
[89] Masahara, M., Surdeanu, R., Witters, L., et al., “Demonstration of asymmetric gate oxide thickness 4-terminal FinFETs,” in Proc. Int. SOI Conf., Oct. 2006, pp. 165–166.
[90] Liu, Y., Matsukawa, T., Endo, K., et al., “Advanced FinFET CMOS technology: TiN-gate, fin-height control and asymmetric gate insulator thickness 4T-FinFETs,” in Proc. Int. Electron Devices Mtg., 2006, pp. 1–4.
[91] Xiong, S. and Bokor, J., “Sensitivity of double-gate and FinFET devices to process variations,” IEEE Trans. Electron Devices, vol. 50, no. 11, pp. 2255–2261, Nov. 2003.Google Scholar
[92] Wang, X., Brown, A. R., Cheng, B., and Asenov, A., “Statistical variability and reliability in nanoscale FinFETs,” in Proc. Int. Electron Devices Mtg., Dec. 2011, pp. 541–544.
[93] Baravelli, E., Marchi, L. D., and Speciale, N., “VDD scalability of FinFET SRAMs: Robustness of different design options against LER-induced variations,” Solid-State Electronics, vol. 54, no. 9, pp. 909–918, 2010.CrossRefGoogle Scholar
[94] Mishra, P., Bhoj, A. N., and Jha, N. K., “Die-level leakage power analysis of FinFET circuits considering process variations,” in Proc. Int. Symp. Quality Electronic Design, Mar. 2010, pp. 347–355.
[95] Matsukawa, T., O'uchi, S., Endo, K., et al., “Comprehensive analysis of variability sources of FinFET characteristics,” in Proc. Int. Symp. VLSI Technology, Jun. 2009, pp. 118–119.
[96] Chaudhuri, S. and Jha, N. K., “3D vs. 2D analysis of FinFET logic gates under process variations,” in Proc. Int. Conf. Comput. Design, Oct. 2011, pp. 435–436.
[97] Chaudhuri, S. and Jha, N. K., “3D vs. 2D device simulation of FinFET logic gates under PVT variations,” in ACM J. Emerging Technologies in Computing Systems, vol. 10, no. 3, 2014.CrossRefGoogle Scholar
[98] Choi, J.-H., Murthy, J., and Roy, K., “The effect of process variation on device temperature in FinFET circuits,” in Proc. Int. Conf. Computer-Aided Design, Nov. 2007, pp. 747–751.
[99]Sentaurus TCAD tool suite [online]. Available at: http://www.synopys.com.
[100] Nawaz, M., Molzer, W., Haibach, P., et al., “Validation of 30 nm process simulation using 3D TCAD for FinFET devices,” Semiconductor Science & Technology, vol. 21, no. 8, pp. 1111–1120, Jul. 2006.CrossRefGoogle Scholar
[101] Vasileska, D. and Goodnick, S. M., Computational Electronics. Morgan & Claypool Publishers, 2006.Google Scholar
[102] Paydavosi, N., Venugopalan, S., Chauhan, Y. S., et al., “BSIM 2014; SPICE models enable FinFET and UTB IC designs,” IEEE Access, vol. 1, pp. 201–215, 2013.CrossRefGoogle Scholar
[103] Venugopalan, S., Lu, D. D., Kawakami, Y., Lee, P. M., Niknejad, A. M., and Hu, C., “BSIM-CG: A compact model of cylindrical/surround gate MOSFET for circuit simulations,” Solid-State Electronics, Jan. 2012.
[104] Fossum, J. G., Ge, L., Chiang, M.-H., et al., “A process/physics-based compact model for nonclassical CMOS device and circuit design,” Solid-State Electronics, vol. 48, pp. 919–926, Jun. 2004.CrossRefGoogle Scholar
[105] Fossum, J., Chowdhury, M., Trivedi, V., King, T.-J., Choi, Y.-K., An, J., and Yu, B., “Physical insights on design and modeling of nanoscale FinFETs,” in Proc. Int. Electron Devices Mtg., Dec. 2003, pp. 29.1.1–29.1.4.
[106] Patt, Y. N., Patel, S. J., Evers, M., Friendly, D. H., and Stark, J., “One billion transistors, one uniprocessor, one chip,” IEEE Computer, vol. 30, no. 9, pp. 51–57, Sept. 1997.CrossRefGoogle Scholar
[107] Yoshida, E. and Tanaka, T., “A design of a capacitorless 1T-DRAM cell using gate-induced drain leakage (GIDL) current for low-power and high-speed embedded memory,” in Proc. Int. Electron Devices Mtg., Dec. 2003, pp. 3761–3764.
[108] Tran, L., “Challenges of DRAM and flash scaling – potentials in advanced emerging memory devices,” in Proc. Int. Conf. Solid-State and Integrated Circuits Technology, vol. 1, Oct. 2004, pp. 668–672.Google Scholar
[109] Bhoj, A. N. and Jha, N. K., “Gated-diode FinFET DRAMs: Device and circuit design-considerations,” ACM J. Emerging Technologies in Computing Systems, vol. 6, no. 4, pp. 12:1–12:32, Dec. 2010.CrossRefGoogle Scholar
[110] Tanaka, T., Yoshida, E., and Miyashita, T., “Scalability study on a capacitorless 1T-DRAM: From single-gate PD-SOI to double-gate FinDRAM,” in Proc. Int. Electron Devices Mtg., Dec. 2004, pp. 919–922.
[111] Bawedin, M., Cristoloveanu, S., and Flandre, D., “A capacitorless 1T-DRAM on SOI based on dynamic coupling and double-gate operation,” IEEE Electron Device Letters, vol. 29, no. 7, pp. 795–798, Jul. 2008.CrossRefGoogle Scholar
[112] Yoshida, E., Miyashita, T., and Tanaka, T., “A study of highly scalable DG-FinDRAM,” IEEE Electron Device Letters, vol. 26, no. 9, pp. 655–657, Sept. 2005.CrossRefGoogle Scholar
[113] Yang, Y. and Jha, N. K., “FinPrin: Analysis and optimization of FinFET logic circuits under PVT variations,” in Proc. Int. Conf. VLSI Design, Jan. 2013, pp. 350–355.
[114] Chang, H. and Sapatnekar, S. S., “Statistical timing analysis under spatial correlations,” IEEE Trans. Computer-Aided Design, vol. 24, no. 9, pp. 1467–1482, Sept. 2005.Google Scholar
[115] Agarwal, A., Blaauw, D., and Zolotov, V., “Statistical timing analysis for intra-die process variations with spatial correlations,” in Proc. Int. Conf. Computer-Aided Design, Nov. 2003, pp. 900–907.
[116] Singhee, A. and Rutenbar, R., “From finance to flip flops: A study of fast quasi-Monte Carlo methods from computational finance applied to statistical circuit analysis,” in Proc. Int. Symp. Quality Electronic Design, Mar. 2007, pp. 685–692.
[117] Singhee, A. and Rutenbar, R. A., “Why quasi-Monte Carlo is better than Monte Carlo or Latin hypercube sampling for statistical circuit analysis,” IEEE Trans. Computer-Aided Design, vol. 29, no. 11, pp. 1763–1776, Nov. 2010.CrossRefGoogle Scholar
[118] Bhattacharya, D. and Jha, N. K., “FinFETs: From devices to architectures,” Advances in Electronics, vol. 2014, Article ID 365689, 21 pages, 2014. doi:10.1155/2014/365689.CrossRef

Save book to Kindle

To save this book to your Kindle, first ensure coreplatform@cambridge.org is added to your Approved Personal Document E-mail List under your Personal Document Settings on the Manage Your Content and Devices page of your Amazon account. Then enter the ‘name’ part of your Kindle email address below. Find out more about saving to your Kindle.

Note you can select to save to either the @free.kindle.com or @kindle.com variations. ‘@free.kindle.com’ emails are free but can only be saved to your device when it is connected to wi-fi. ‘@kindle.com’ emails can be delivered even when you are not connected to wi-fi, but note that service fees apply.

Find out more about the Kindle Personal Document Service.

Available formats
×

Save book to Dropbox

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Dropbox.

Available formats
×

Save book to Google Drive

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Google Drive.

Available formats
×