Skip to main content Accessibility help
×
Hostname: page-component-8448b6f56d-mp689 Total loading time: 0 Render date: 2024-04-24T13:06:10.198Z Has data issue: false hasContentIssue false

5 - Electromigration in Cu Interconnect Structures

Published online by Cambridge University Press:  05 May 2022

Paul S. Ho
Affiliation:
University of Texas, Austin
Chao-Kun Hu
Affiliation:
IBM T J Watson Research Center, New York
Martin Gall
Affiliation:
GlobalFoundries
Valeriy Sukharev
Affiliation:
Siemens Business
Get access

Summary

Scaling on-chip Cu wiring dimensions has degraded electromigration (EM) reliability with the same metallization and rapidly increased Cu resistivity. The size effects in EM and resistivity were caused by increased contributions from EM-induced mass flow and electron scattering with interfaces and grain boundaries, respectively. The EM Cu interconnect lifetime had further degraded by the decrease in the void volume required to cause EM failure. The Cu interconnect resistance was further increased by increasing the volume fraction of barrier/liner in metal wires that were required to produce chips with good reliability. In this chapter, we review the Cu microstructure and resistivity for various CMOS technological nodes, the basic physics of the EM phenomenon addressing EM mass transport, lifetime scaling rule, and damage formation in Cu damascene line structures. This is followed with discussions on Blech short length and EM scaling rule. Several techniques developed for improving EM reliability using upper-level dummy vias, impurities, Cu surface treatments, alternated liners, and surface metal coating are discussed together with the effects of Cu microstructure, atomic layer deposition MnOx liner, and Cu/carbon nanotube composite line on EM.Finally, the EM lifetimes, failure mechanisms and activation energies through various technological nodes are presented.

Type
Chapter
Information
Electromigration in Metals
Fundamentals to Nano-Interconnects
, pp. 127 - 202
Publisher: Cambridge University Press
Print publication year: 2022

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

Hu, C.-K., Small, M. B., Kaufman, F., and Pearson, D. J., Copper-polyimide wiring technology for VLSI circuit, Proceedings of the VLSI V (Warrendale: Materials Research Society, 1990), 369.Google Scholar
Paraszczak, J., Edelstein, D., Cohen, S., Babich, E., and Hummel, J., High performance dielectrics and processes for ULSI interconnection technologies, Tech. Digest, IEEE International Electron Devices Meeting (Piscataway: IEEE, 1993), 261.Google Scholar
Edelstein, D., Uzoh, C., Cabral, C. Jr., et al., A high performance liner for copper damascene interconnects, IEEE International Interconnect Technology Conference (2001), 9–11.Google Scholar
Edelstein, D., Heidenreich, J., Goldblatt, R.D., et al., Full copper wiring in a sub-0.25 μm CMOS ULSI technology, Tech. Digest, IEEE International Electron Devices Meeting (Piscataway: IEEE, 1997), 773.CrossRefGoogle Scholar
Hu, C.-K. and Luther, B., Electromigration in two-level interconnects of Cu and Al alloys, Materials Chemistry and Physics 41 (1995), 1.Google Scholar
Huntington, H. B., Electromigration in Metal in Diffusion in Solids: Recent Developments, ed. Nowick, A. S. and Burton, J. J. (New York: Academic, 1974), 303.Google Scholar
Hu, C.-K., and Harper, J. M. E., Copper interconnection fabrication and reliability, Material Chemistry and Physics 51 (1998), 5.Google Scholar
Hu, C-K., Chang, S., Small, M. B., and Lewis, J. E., Diffusion barrier studies for Cu, Proceedings of the 3rd IEEE VMIC Conference (1986), 181.Google Scholar
Harper, J. M. E., Colgan, E. G., Hu, C.-K., Hummel, J. P., Buchwalter, L. P., and Uzoh, C. E., Materials issues in copper interconnections, Materials Research Society Bulletin 19 (1994), 23.CrossRefGoogle Scholar
Chen, F., and Gardner, D., Influence of line dimensions on the resistance of Cu interconnections, IEEE Electron Device Letters 19 (1998), 508510.CrossRefGoogle Scholar
Hu, C.-K., Gignac, L.M., Baker, B., et al., Electromigration reliability of advanced interconnects, AIP Conference Proceedings 945 , (2007), 2741.CrossRefGoogle Scholar
Zhang, L., Im, J., and Ho, P. S., Line scaling effect on grain structure for Cu interconnects, AIP Conference Proceedings 1143 , (2009), 151155.CrossRefGoogle Scholar
Hu, S.-T., Cao, L., Spinella, L., and Ho, P. S., Microstructure evolution and effect on resistivity for Cu nanointerconnects and beyond, 2018 IEEE International Electron Devices Meeting (Piscataway: IEEE, 2018), 5.4.1.CrossRefGoogle Scholar
Hu, C.-K., Liniger, E. G., Gignac, L. M., Bonilla, G., and Edelstein, D., Materials and scaling effects on on-chip interconnect reliability, MRS Online Proceedings Library (2013), 1559. mrss13–1559-aa07–01 doi:10.1557/opl.2013.872.Google Scholar
Hu, C.-K., Gignac, L., Lian, G., et al., Mechanisms of electromigration damage in Cu interconnects, 2018 IEEE International Electron Devices Meeting (Piscataway: IEEE, 2018), 5.2.15.2.4.Google Scholar
Schafft, H. A., Mayo, S., Jones, S. N., and Suehle, J. S., An electrical method for determining the thickness of metal films and the cross-sectional area of metal lines, Proceedings of IEEE International Integrated Reliability Workshop (IRWS) (1994). doi:10.1109/IRWS.1994.515820.CrossRefGoogle Scholar
Gray, D. E., American Institute of Physics Handbook, 2nd ed. (New York: McGraw-Hill Book Company Inc., 1957).Google Scholar
Fuchs, K., The conductivity of thin metallic films according to the electron theory of metals, Proceedings of the Cambridge Philosophical Society 34 (1938), 100.CrossRefGoogle Scholar
Sondheimer, E. H., The mean free path of electrons in metals, Advances in Physics 1 (1952), 1.Google Scholar
Mayadas, A. F., and Shatzkes, M., Electrical-resistivity model for polycrystalline films: the case of arbitrary reflection at external surfaces, Physical Review B 1 (1970), 1382.Google Scholar
MacDonald, D. K. C. and Sarginson, K., Size effect variation of the electrical conductivity of metals, Proceedings of the Royal Society 203 (1950), 223.Google Scholar
Smith, R. S., Ryan, E. T., Hu, C.-K., et al., An evaluation of Fuchs–Sondheimer and Mayadas–Shatzkes models below 14nm node wide lines, AIP Advances 9 (2019), 025015.CrossRefGoogle Scholar
Maitrejean, S., Gers, R., Mourier, T., Toffoli, A., and Passemard, G., Experimental measurements of electron scattering parameters in Cu narrow lines, Microelectronics Engineering 83 (2006), 23962401.Google Scholar
Huang, Q., Lilley, C. M., Bode, M., and Divan, R., Surface and size effects on the electrical properties of Cu nanowires, Journal of Applied Physics 104 (2008), 023709-6.CrossRefGoogle Scholar
Sun, T., Yao, B., Warren, A. P., Barmak, K., Toney, M. F., Peale, R. E., and Coffey, K. R., Dominant role of grain boundary scattering in the resistivity of nanometric Cu films, Physical Review B 79 (2009), 041402R.Google Scholar
Hu, C.-K., Kelly, J., Chen, J. H-C., et al., Electromigration and resistivity in on-chip Cu, Co and Ru damascene nanowires, 2017 IEEE International Interconnect Technology Conference (IITC) (Piscataway: IEEE, 2017), 13. doi: 10.1109/IITC-AMC.2017.7968977.Google Scholar
Zhang, W., Brongersma, S. H., Li, Z., Li, D., Richard, O., and Maex, K., Analysis of the size effect in electroplated fine copper wires and a realistic assessment to model copper resistivity, Journal of Applied Physics 101 (2007), 063703.Google Scholar
Newnham, R., Properties of Materials: Anisotropy, Symmetry, Structure (Oxford: Oxford University Press, 2004).Google Scholar
Justi, E., Electrical properties of ruthenium, Z. Naturforsch 49 (1949), 472.Google Scholar
Tainsh, R. J. and White, G. K., Resistivity of Ru, Canadian Journal of Physics 42 (1964), 208209.Google Scholar
Hu, C.-K., Kelly, J., Huang, H., et al., Future on-chip interconnect metallization and electromigration, IEEE International Reliability Physics Symposium (IRPS) (Piscataway: IEEE, 2018), section 4F.1.Google Scholar
Mott, M. F. and Jones, H., The Theory of the Properties of Metals and Alloys (New York: Dover Publications, 1958), chapter 7.Google Scholar
Lee, E., Truong, N., Prater, R., and Morales, D., Novel ruthenium-based materials and ruthenium alloys: their use in vapor deposition or atomic layer deposition and films produced therefrom. US20080274369 (2006).Google Scholar
Blech, A., Electromigration in thin aluminum films on titanium nitride, Journal of Applied Physics 47 (1976), 12031208.Google Scholar
Hu, C.-K., Gignac, L. G., Ohm, J., et al., Microstructure, impurity and metal cap effects on Cu electromigration, AIP Proceedings 1601 (2014), 67.Google Scholar
Tsai, M. H., Augur, R., Blaschke, V., et al., Electromigration reliability of dual damascene Cu/CVD SiOC interconnects, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Piscataway: IEEE, 2001), 266.Google Scholar
Tokogawa, S., and Takizawa, H., Electromigration induced incubation, drift and threshold in single-damascene copper interconnects, Proceedings of the IEEE 2002 International Interconnect Technology Conference (Piscataway: IEEE, 2002), 127.Google Scholar
Hau-Riege, C. S., Marathe, A. P., and Pham, V., The effect of line length on the electromigration reliability of Cu interconnects, Conference Proceedings of ULSI XVIII (Warrendale: Materials Research Society, 2002), 169.Google Scholar
Thrasher, S., Gall, M., Capasso, C., et al., Examination of critical length effect in copper interconnects with oxide and low‐k dielectrics, AIP Conference Proceedings 741 (2004), 165.Google Scholar
Hu, C.-K., Gignac, L., Liniger, E., et al., Comparison of Cu electromigration lifetime in Cu interconnects coated various caps, Applied Physics Letters 83 (2003), 869.Google Scholar
Hu, C.-K., Canaperi, D., Chen, S. T., et al., Effects of overlayers on electromigration reliability improvement for Cu/low-k interconnects, Proceedings of the IEEE International Reliability Physics Symposium, IRPS (2004), 222228.Google Scholar
Hu, C.-K., Gignac, L. M., Liniger, E., Detavernier, C., Malhotra, S. G., and Simon, A., Effect of metal liner on electromigration in Cu Damascene lines, Journal of Applied Physics 98 (2005), 124501124508.Google Scholar
Liniger, E., Hu, C.-K, Gignac, L., and Kaldor, S., Effect of liner thickness on electromigration lifetime, Journal of Applied Physics 92 (2002), 1803.Google Scholar
Jo, B. H. and Vook, R. W., Dependence of electromigration rate on applied electric potential, Applied Surface Science 89 (1995), 237.Google Scholar
Augur, R., Van den Elshout, F., and Wolters, R. A. M., Interface diffusion and electromigration failure in narrow aluminum lines with barrier layers, AIP Conference Proceedings 373 (1996), 279.Google Scholar
Huang, J. S., Shofner, T. L., and Zhao, J., Direct observation of void morphology in step-like electromigration resistance behavior and its correlation with critical current density, Journal of Applied Physics 89 (2001), 2130.Google Scholar
Guo, Q., Krishnamoorthy, A., Huang, N. Y., and Foo, P. D., Resistance degradation profile in electromigration of dual-damascene Cu interconnects, Conference Proceedings VLSI XVIII (Warrendale: Material Research Society, 2002), 191.Google Scholar
Demuynck, S., Tokei, Z. S., Bruynseraede, C., Michelon, J., and Max, K., Alpha-Ta formation and its impact on electromigration, Proceedings of the Advanced Metallization Conference 2003, AMC XIX (Warrendale: Materials Research Society, 2004), 355.Google Scholar
Lin, J. C., Park, S. K., Pfeifer, K., et al., Electromigration reliability study of self-ionized plasma barriers for dual damascene Cu metallization, Proceedings of the Advanced Metallization Conference 2002, AMC XVIII (Warrendale: Materials Research Society, 2003), 233.Google Scholar
Hu, C.-K., Rosenberg, R., Rathore, H. S., Nguyen, D. B., and Agarwala, B., Scaling effect on electromigration in on-chip Cu wiring, Proceedings of the IEEE International Interconnect Technology Conference, IITC (Piscataway: IEEE, 1999), 267269.Google Scholar
Hu, C.-K., Gignac, L., Liniger, E., et al. Comparison of EM in Cu interconnects with ALD or PVD TaN liners, Journal of the Electrochemical Society 154 (2007), H755.Google Scholar
Alers, G. B., Rozbicki, R. T., Harm, G. J., Kailasam, S. K., Ray, G. W., and Danek, M., Barrier-first integration for improved reliability in copper dual damascene interconnects, Proceedings of the IEEE 2003 International Interconnect Technical Conference (Piscataway: IEEE, 2003), 27.Google Scholar
Harper, J. M. E., Cabral, C. Jr., Andricacos, P. C., et al., Mechanisms for microstructure evolution in electroplated copper thin films near room temperature, Journal of Applied Physics 86 (1999), 2516.Google Scholar
Hu, C.-K., Lee, K. Y., Cabral, C., Jr., Colgan, E. G., and Stains, C., Electromigration drift velocity in Al-alloys and Cu-alloys lines, Journal of the Electrochemical Society 143 (1996), 1001.Google Scholar
Shingubara, S., Osaka, T., Abdeslam, S., Sakue, H., and Takagi, T., Void formation mechanism at no current stressed area, AIP Conference Proceedings 418 (1998), 159.Google Scholar
Hu, C.-K., Gignac, L., Liniger, E., and Rosenberg, R., Electromigration in on-chip single/dual damascene Cu interconnections, Journal of the. Electrochemical Society 149 (2002), G408.Google Scholar
Hu, C-K., Gignac, L., Malhotra, S. G., Rosenberg, R., and Boettcher, S., Mechanisms for very long electromigration lifetime in dual-damascene Cu interconnections, Applied Physics Letters 78 (2001), 904.CrossRefGoogle Scholar
Shewmon, P. G., Diffusion in Solids (New York: McGraw-Hill, 1963).Google Scholar
Gupta, G., Some formal aspects of diffusion: bulk solids and thin films, Diffusion Phenomena in Thin Films and Microelectronics Materials, ed. Gupta, D. and Ho, P. S. (Park Ridge: Noyes, 1998), 23.Google Scholar
Hu, C.-K., Gignac, L., and Rosenberg, R., Electromigration in Cu thin film, Diffusion Processes in Advanced Technical Materials, ed. Gupta, D. (New York: William Andrew, Inc., 2005), 449.Google Scholar
Liu, R. F., Hu, C.-K., Gignac, L., et al. Effects of failure criteria on the lifetime distribution of dual-damascene Cu line/via on W, Journal of Applied Physics 95 (2004), 3737.CrossRefGoogle Scholar
Li, L. and Wong, H.-S., Integrating graphene into future generations of interconnect wires, 2018 IEDM (Piscataway: IEEE, 2018), 5.05.Google Scholar
Nogami, T, Briggs, B., Korkmaz, S., et al., Through-Co self forming barrier for Cu/ULK BEOL, IEEE IEDM (Piscataway: IEEE, 2015), 8.1.1.Google Scholar
Wongpiya, R., Ouyang, J., Kim, T. R., et al., Amorphous thin film TaWSiC as a diffusion barrier for copper interconnects, Applied Physics Letters 103 (2013), 022104.Google Scholar
Witt, C., Calero, V., Hu, C.-K., and Bonilla, G., Electromigration: void dynamics, Transactions on Device and Materials Reliability 16 (2016), 446.Google Scholar
Vairagar, A. V., Mhaisalkar, S. G., Krishnamoorthy, A., et al., In situ observation of electromigration-induced void migration in dual-damascene CuCu interconnect structures, Applied Physics Letters 85 (2004), 25022504.CrossRefGoogle Scholar
Zschech, E., Meyer, M. A., and Langer, E., Effect of mass transport along interfaces and grain boundaries on copper interconnect degradation, Materials Research Society Symposium Proceedings 812 (2004), 361372.Google Scholar
Lin, M. H. and Oates, A. S., An electromigration failure distribution model for short-length conductors incorporating passive sinks/reservoirs, IEEE Transactions on Device Materials Reliability 13 (2013), 322326.CrossRefGoogle Scholar
Hu, C.-K., Gignac, L., Liniger, E., et al., Electromigration challenges for nanoscale Cu wiring, AIP Conference Proceedings 1143 (2009), 311.Google Scholar
Stamper, A. K., Baks, H., Cooney, E., et al. Damascene copper integration impact on EM and stress migration, Proceedings of the Advanced Metallization Conference (Warrendale: Materials Research Society, 2005), 727.Google Scholar
Ryan, E. T., Martin, J., Bonilla, G., et al. H-base plasma modifications of SiCN/Cu interface to mitigate EM failure, Journal of the Electrochemical Society 154 (2007), H604H610.Google Scholar
Hu, C-K., Angyal, M., Baker, B. C., et al, Effect of impurity on Cu EM, AIP Conference Proceedings 1300 (2010), 5767.Google Scholar
Stangl, M., Lipták, M., Acker, J., Hoffmann, V., Baunack, S. and Wetzig, K., Influence of incorporated non-metallic impurities on electromigration in copper damascene interconnect lines, Thin Solid Films 517 (2009), 26872690.Google Scholar
Surholt, T. and Herzig, C., Grain boundary self-diffusion in Cu polycrystals of different purity, Acta Materialia 45 (1997), 3817.Google Scholar
Subramaniam, C., Yamada, T., Kazufumi, K., et al., One-hundred-fold increase in current carrying capacity in a carbon nanotube-copper composite, Nature Communication 4 (2013), Article no 2202.Google Scholar
Hu, C.-K., Gignac, L.M., Rosenberg, R., et al., Atom motion of Cu and Co in Cu damascene lines with a CoWP cap, Applied Physics Letters 84 (2004), 49864988.Google Scholar
Hu, C.-K., Gignac, L., Rosenberg, R., et al., Reduced Cu interface diffusion by CoWP surface coating, Microelectronic Engineering 70 (2003), 406.Google Scholar
Hu, C.-K., Canaperi, D., Chen, S. T., et al. A study of electromigration lifetime for Cu interconnects coated with CoWP, Ta/TaN, or SiCxNyHz, 2003 Proceedings of the Advanced Metallization Conference 19 (2004), 253.Google Scholar
Peterson, N. L., Self-diffusion in pure metals, Journal of Nuclear Materials 69–70 (1978), 3.Google Scholar
Le Claire, A. D., On the theory of impurity diffusion in metals, Philosophical Magazine 7 (1962), 141.Google Scholar
Bruni, F. J. and Christian, J. W., The chemical diffusion coefficient in dilute copper-cobalt alloys, Acta Metallurgica 21 (1973), 385390.Google Scholar
Hansen, P. M. and Anderko, K., Constitution of Binary Alloys, 2nd ed. (New York: McGraw-Hill, 1958).CrossRefGoogle Scholar
Spindler, P. and Nachtrieb, K. N., Lattice and grain-boundary diffusion of phosphorus in commercially-pure copper, Metallurgical and Materials. Transactions A9 (1978), 763.Google Scholar
Crank, J., The Mathematics of Diffusion, 2nd ed. (New York: Oxford University Press, 1975), 21.Google Scholar
Blatt, F. J., Physics of Electronic Conduction in Solids (New York: McGraw-Hill, 1968), chapter 7.Google Scholar
Macklift, C. A., Diffusion of iron, cobalt, and nickel in single crystals of pure copper, Physical Review 109 (1958), 1964.Google Scholar
Yokogawa, S. and Tsuchiya, H., Effects of Al doping on the electromigration performance of damascene Cu interconnects, Journal of Applied Physics 101 (2007), 013513.CrossRefGoogle Scholar
Hu, C.-K., Ohm, J., Gignac, L. M., et al., Electromigration in Cu(Al) and Cu(Mn) damascene lines, Journal of Applied Physics 111 (2012), 093722.Google Scholar
Park, C. W. and Vook, R. W., Electromigration-resistant Cu-Pd alloy films, Thin Solid Films 226 (1993), 238.Google Scholar
Tonegawa, T., Hiroi, M., Motoyama, K., Fujii, H., and Miyamoto, H., Suppression of bimodal stress-induced voiding using high-diffusive dopant from Cu-alloy seed layer, Proceedings of IEEE International Interconnect Technology Conference (Piscataway: IEEE, 2003), 216.Google Scholar
Hu, C.-K., Gignac, L., and Rosenberg, R., Electromigration of Cu/low dielectric constant interconnects, Microelectronics Reliability 46 (2006), 213.Google Scholar
Michael, N. L. and Kim, C. U., Electromigration in Cu thin films with Sn and Al cross strips, Journal of Applied Physics 90 (2001), 43704377.Google Scholar
Hu, C-K., Ho, P. S., and Small, M. B., Electromigration in Al/W and Al(Cu)/W two-level structure, Journal of Applied Physics 72 (1992), 291.Google Scholar
Hu, C.-K. and Huntington, H. B., Electromigration and diffusion of impurities in lead solders, Diffusion Phenomena in Thin Films and Microelectronic Materials, ed. Gupta, D. and Ho, P. S. (Park Ridge: Noyes Data Corporation, 1988), chapter 10.Google Scholar
Fukushima, H., and Doyama, M., The formation energies of a vacancy in pure Cu, Cu-Si, Cu-Ga and Cu- gamma Mn solid solutions by positron annihilation, Journal of Physics F: Metal Physics 6 (1976), 677.Google Scholar
Watanabe, T., Nasu, H., Usui, T., et al., Self-formed barrier technology using CuMn alloy seed for copper dual-damascene interconnect with porous-SiOC/porous-PAr hybrid dielectric, 2007 IEEE International Interconnect Technology Conference (Piscataway: IEEE, 2007), 79.Google Scholar
Naik, M., Interconnect trend for single digit nodes, 2018 IEEE IEDM (2018), 5.6.1.CrossRefGoogle Scholar

Save book to Kindle

To save this book to your Kindle, first ensure coreplatform@cambridge.org is added to your Approved Personal Document E-mail List under your Personal Document Settings on the Manage Your Content and Devices page of your Amazon account. Then enter the ‘name’ part of your Kindle email address below. Find out more about saving to your Kindle.

Note you can select to save to either the @free.kindle.com or @kindle.com variations. ‘@free.kindle.com’ emails are free but can only be saved to your device when it is connected to wi-fi. ‘@kindle.com’ emails can be delivered even when you are not connected to wi-fi, but note that service fees apply.

Find out more about the Kindle Personal Document Service.

Available formats
×

Save book to Dropbox

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Dropbox.

Available formats
×

Save book to Google Drive

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Google Drive.

Available formats
×