Skip to main content Accessibility help
×
  • Cited by 30
Publisher:
Cambridge University Press
Online publication date:
September 2013
Print publication year:
2013
Online ISBN:
9781139343466

Book description

Understand the theory, design and applications of the two principal candidates for the next mainstream semiconductor-industry device with this concise and clear guide to FD/UTB transistors. Describes FD/SOI MOSFETs and 3-D FinFETs in detailCovers short-channel effects, quantum-mechanical effects, applications of UTB devices to floating-body DRAM and conventional SRAMProvides design criteria for nanoscale FinFET and nanoscale thin- and thick-BOX planar FD/SOI MOSFET to help reduce technology development timeProjects potential nanoscale UTB CMOS performancesContains end-of-chapter exercises.For professional engineers in the CMOS IC field who need to know about optimal non-classical device design and integration, this is a must-have resource.

Refine List

Actions for selected content:

Select all | Deselect all
  • View selected items
  • Export citations
  • Download PDF (zip)
  • Save to Kindle
  • Save to Dropbox
  • Save to Google Drive

Save Search

You can save your searches here and later view and run them again in "My saved searches".

Please provide a title, maximum of 40 characters.
×

Contents

References
Agrawal, S. and Fossum, J. G. (2008). “On the suitability of a high-k gate dielectric in nanoscale FinFET CMOS technology,” IEEE Trans. Electron Devices, 55, 1714–1719.
Agrawal, S. and Fossum, J. G. (2010). “A physical model for fringe capacitance in double-gate MOSFETs with non-abrupt source/drain junctions and gate underlap,” IEEE Trans. Electron Devices, 57, 1069–1075.
Allen, L. P., Caliendo, S., Hofmeester, N., et al. (2002). “SOI uniformity and surface smoothness improvement using GCIB processing,” Proc. IEEE Internat. SOI Conf., pp. 192–193.
Ando, T., Fowler, A. B., and Stern, F. (1982). “Electronic properties of two-dimensional systems,” Rev. Mod. Phys., 54, 437–671.
Arora, N. D., Hauser, J. R., and Roulston, D. J. (1982). “Electron and hole mobilities in silicon as a function of concentration and temperature,” IEEE Trans. Electron Devices, ED-29, 292–295.
Auth, C., Allen, C., Blattner, A., et al. (2012). “A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors,” Proc. IEEE Symp. VLSI Tech., pp. 131–132.
Balasubramanian, S., Chang, L., Nikolic, B., and King, T.-J. (2003). “Circuit-performance implications for double-gate MOSFET scaling below 25nm,” Proc. Silicon Nanoelectronics Workshop, pp. 16–17.
Balestra, F., Cristoloveanu, S., Benachir, M., Brini, J., and Elewa, T. (1987). “Double-gate silicon-on-insulator transistor with volume inversion: a new device with greatly enhanced performance,” IEEE Electron Device Lett., EDL-8, 410–412.
Ban, I., Avci, U. E., Shah, U., Barns, C. E., Kencke, D. L., and Chang, P. (2006). “Floating body cell with independently controlled double gates for high density memory,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 573–576.
Boeuf, F., Skotnicki, T., Monfray, S., et al. (2001). “16nm planar NMOSFET manufacturable within state-of-the-art CMOS process thanks to specific design and optimisation,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 637–640.
Brown, A. R., Asenov, A., and Watling, J. R. (2002). “Intrinsic fluctuations in sub 10-nm double-gate MOSFETs introduced by discreteness of charge and matter,” IEEE Trans. Nanotechnology, 1, 195–200.
Casse, M., Rochette, F., Bhouri, N., et al. (2008). “Mobility of strained and unstrained short channel FD-SOI MOSFETs: new insight by magnetoresistance,” Proc. IEEE Symp. VLSI Tech., pp. 170–171.
Chang, D. (1997). Pragmatic and reliable device/circuit simulation for design in advanced silicon-based technologies. Unpublished Ph.D. Dissertation, University of Florida, Gainesville.
Chang, L., Choi, Y.-K., Ha, D., et al. (2003). “Extremely scaled silicon nano-CMOS devices,” Proc. IEEE, 91, 1860–1873.
Cheng, K., Khakifirooz, A., Kulkarni, P., et al. (2009). “Fully depleted extremely thin SOI technology fabricated by a novel integration scheme featuring implant-free, zero-silicon-loss, and faceted raised source/drain,” Proc. IEEE Symp. VLSI Tech., pp. 213–214.
Chiang, M.-H. (2001). Process-based compact modeling and analysis of silicon-on-insulator CMOS devices and circuits, including double-gate MOSFETs. Unpublished Ph.D. Dissertation, University of Florida, Gainesville.
Chiang, M.-H., Lin, J.-N., Kim, K., and Chuang, C.-T. (2007). “Random dopant fluctuation in limited-width FinFET technologies,” IEEE Trans. Electron Devices, 54, 2055–2060.
Choi, J.-Y. and Fossum, J. G. (1991). “Analysis and control of floating-body bipolar effects in fully depleted submicrometer SOI MOSFETs,” IEEE Trans. Electron Devices, 38, 1384–1391.
Choi, Y.-K., Asano, K., Lindert, N., et al. (2000). “Ultrathin-body SOI MOSFET for deep-sub-tenth micron era,” IEEE Electron Device Lett., 21, 254–256.
Chouksey, S. and Fossum, J. G. (2008). “DICE: a beneficial short-channel effect in nanoscale double-gate MOSFETs,” IEEE Trans. Electron Devices, 55, 796–802.
Chouksey, S., Fossum, J. G., Behnam, A., Agrawal, S., and Mathew, L. (2009). “Threshold voltage adjustment in nanoscale DG FinFETs via limited source/drain dopants in the channel,” IEEE Trans. Electron Devices, 56, 2348–2353.
Chouksey, S., Fossum, J. G., and Agrawal, S. (2010). “Insights on design and scalability of thin-BOX FD/SOI CMOS,” IEEE Trans. Electron Devices, 57, 2073–2079.
Chowdhury, M. M. and Fossum, J. G. (2006). “Physical insights on electron mobility in contemporary FinFETs,” IEEE Electron Device Lett., 27, 482–485.
Chowdhury, M. M., Trivedi, V. P., Fossum, J. G., and Mathew, L. (2007). “Carrier mobility/transport in undoped-UTB DG FinFETs,” IEEE Trans. Electron Devices, 54, 1125–1131.
Colinge, J. P. (1997). SOI Technology: Materials to VLSI. Boston, MA: Kluwer Academic Publishers.
Cros, A., Romanjek, K., Fleury, D., et al. (2006). “Unexpected mobility degradation for very short devices: a new challenge for CMOS scaling,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 663–666.
Damaraju, S., George, V., Jahagirdar, S., , T., et al. (2012). “A 22nm IA multi-CPU and GPU system-on-chip,” Tech. Dig. IEEE Internat. Solid-State Circuits Conf., pp. 56–57.
Delprat, D., Boedt, F., David, C., et al. (2009). “SOI substrate readiness for 22/20 nm and for fully depleted planar device architectures,” Proc. IEEE Internat. SOI Conf., pp. 120–123.
Doris, B., Ieong, M., Kanarsky, T., et al. (2002). “Extreme scaling with ultra-thin Si channel MOSFETs,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 267–270.
Doyle, B., Boyanov, B., Datta, S., et al. (2003a). “Tri-gate fully-depleted CMOS transistors: fabrication, design and layout,” Proc. IEEE Symp. VLSI Tech., pp. 133–134.
Doyle, B. S., Datta, S., Doczy, M., et al. (2003b). “High performance fully-depleted tri-gate CMOS transistors,” IEEE Electron Device Lett., 24, 263–265.
Endo, K., Migita, S., Ishikawa, Y., et al. (2012). “Flexible Vth FinFETs with 9-nm-thick extremely thin BOX,” Proc. IEEE Internat. SOI Conf.
Ernst, T., Tinella, C., Raynaud, C., and Cristoloveanu, S. (2002). “Fringing fields in sub-0.1 μm fully depleted SOI MOSFETs: optimization of the device architecture,” Solid-State Electron., 46, 373–378.
Esseni, D., Mastrapasqua, M., Celler, G. K., et al. (2000). “Low field mobility of ultra-thin SOI n- and p-MOSFETs: measurements and implications on the performance of ultra-short MOSFETs,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 671–673.
Esseni, D., Mastrapasqua, M., Fiegna, C., Celler, G. K., Selmi, L., and Sangiorgi, E. (2001). “An experimental study of low field electron mobility in double-gate, ultra-thin SOI MOSFETs,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 445–448.
Faynot, O., Andrieu, F., Weber, O., et al. (2010). “Planar fully depleted SOI technology: a powerful architecture for the 20 nm node and beyond,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 50–53.
Fenouillet-Beranger, C., Denorme, S., Perreau, P., et al. (2009). “FDSOI devices with thin BOX and ground plane integration for 32 nm node and below,” Solid-State Electron., 53, 730–734.
Fenouillet-Beranger, C., Perreau, P., Denorme, S., et al. (2010). “Impact of a 10 nm ultra-thin BOX (UTBOX) and ground plane on FDSOI devices for 32 nm node and below,” Solid-State Electron., 54, 849–854.
Fischetti, M. V., Ren, Z., Solomon, P. M., Yang, M., and Rim, K. (2003). “Six-band k*p calculation of the hole mobility in silicon inversion layers: dependence on surface orientation, strain, and silicon thickness,” J. Appl. Phys., 94, 1079–1095.
Fossum, J. G. (1997). UFSOI MOSFET Models User’s Guide. Gainesville, FL: University of Florida (license information at ).
Fossum, J. G. (2007). “Physical insights on nanoscale multi-gate CMOS design,” Solid-State Electron., 51, 188–194.
Fossum, J. G. (2010). UFDG MOSFET Model User’s Guide. Gainesville, FL: University of Florida (license information at ).
Fossum, J. G. and Krishnan, S. (1993). “Current drive enhancement limited by carrier velocity saturation in deep-submicrometer fully depleted SOI MOSFETs,” IEEE Trans. Electron Devices, 40, 457–459.
Fossum, J. G., Ren, Z., Kim, K., and Lundstrom, M. (2000). “Extraordinarily high drive currents in asymmetrical double-gate MOSFETs,” Superlatt. Microstruct., 28, 525–530.
Fossum, J. G., Ge, L., and Chiang, M.-H. (2002). “Speed superiority of scaled double-gate CMOS,” IEEE Trans. Electron Devices, 49, 808–811.
Fossum, J. G., Chowdhury, M. M., Trivedi, V. P., et al. (2003a). “Physical insights on design and modeling of nanoscale FinFETs,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 679–682.
Fossum, J. G., Yang, J.-W., and Trivedi, V. P. (2003b). “Suppression of corner effects in triple-gate MOSFETs,” IEEE Electron Device Lett., 24, 745–747.
Fossum, J. G., Ge, L., Chiang, M.-H., et al. (2004a). “A process/physics-based compact model for nonclassical CMOS device and circuit design,” Solid-State Electron., 48, 919–926.
Fossum, J. G., Wang, L.-Q., Yang, J.-W., Kim, S.-H., and Trivedi, V. P. (2004b). “Pragmatic design of nanoscale multi-gate CMOS,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 613–616.
Fossum, J. G., Lu, Z., and Trivedi, V. P. (2007). “New insights on ‘capacitorless’ floating-body DRAM cells,” IEEE Electron Device Lett., 28, 513–516.
Fossum, J. G., Zhou, Z., Mathew, L., and Nguyen, B.-Y. (2010). “SOI versus bulk-silicon nanoscale FinFETs,” Solid-State Electron., 54, 86–89.
Frank, D. J., Taur, Y., Ieong, M., and Wong, H.-S. P. (1999). “Monte Carlo modeling of threshold variation due to dopant fluctuations,” Proc. IEEE Symp. VLSI Tech., pp. 169–170.
Fried, D. M., Duster, J. S., and Kronegay, K. T. (2004). “High-performance p-type independent-gate FinFETs,” IEEE Electron Device Lett., 25, 199–201.
Gámiz, F. and Fischetti, M. V. (2001). “Monte Carlo simulation of double-gate silicon-on-insulator inversion layer: the role of volume inversion,” J. Appl. Phys., 89, 5478–5487.
Gámiz, F., Roldán, J. B., and López-Villanueva, J. A. (1998). “Phonon-limited electron mobility in ultrathin silicon-on-insulator inversion layers,” J. Appl. Phys., 83, 4802–4806.
Gámiz, F., Roldán, J. B., López-Villanueva, J. A., Cartujo-Cassinello, P., Carceller, J. E., and Cartujo, P. (2001). “Monte Carlo simulation of electron transport in silicon-on-insulator devices,” Proc. 10th Internat. Symp. SOI Tech. and Devices, vol. ECS 2001–3.
Ge, L. (2002). Physical modeling and analysis of carrier confinement and transport in silicon-on-insulator and double-gate CMOS devices and circuits. Unpublished Ph.D. Dissertation, University of Florida, Gainesville.
Ge, L. and Fossum, J. G. (2002). “Analytical modeling of quantization and volume inversion in thin Si-film double-gate MOSFETs,” IEEE Trans. Electron Devices, 49, 287–294.
Ge, L., Fossum, J. G., and Liu, B. (2001). “Physical compact modeling and analysis of velocity overshoot in extremely scaled CMOS devices and circuits,” IEEE Trans. Electron Devices, 48, 2074–2080.
Ghani, T., Mistry, K., Packan, P., et al. (2000). “Scaling challenges and device design requirements for high performance sub-50 nm gate length planar CMOS transistors,” Proc. IEEE Symp. VLSI Tech., pp. 174–175.
Goodnick, S. M., Ferry, D. K., Wilmsen, C. W., Liliental, Z., Fathy, D., and Krivanek, O. L. (1985). “Surface roughness at the Si(100)-SiO2 interface,” Phys. Rev. B, 32, 8171–8186.
Gusmeroli, R., Spinelli, A. S., Pirovano, A., Lacaita, A. L., Boeuf, F., and Skotnicki, T. (2003). “2D QM simulation and optimization of decanano non-overlapped MOS devices,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 225–228.
Hisamoto, D., Kana, T., and Takeda, E. (1991). “Impact of the vertical SOI ‘DELTA’ structure on planar device technology,” IEEE Trans. Electron Devices, 38, 1419–1424.
Huang, X., Lee, W.-C., Kuo, C., et al. (1999). “Sub-50nm FinFET: PMOS,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 67–70.
Jurczak, M., Skotnicki, T., Paoli, M., et al. (2000). “Silicon-on-nothing (SON)–an innovative process for advanced CMOS,” IEEE Trans. Electron Devices, 47, 2179–2187.
Kedzierski, J., Nowak, E., Kanarsky, T., et al. (2002). “Metal-gate FinFET and fully-depleted SOI devices using total gate silicidation,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 247–250.
Khakifirooz, A., Cheng, K., Kulkarni, P., et al. (2010). “Challenges and opportunities of extremely thin SOI (ETSOI) CMOS technology for future low power and general purpose system-on-chip applications,” Proc. IEEE Symp. VLSI Tech., pp. 110–111.
Khakifirooz, A., Kangguo, C., Reznicek, A., et al. (2012). “Scalability of extremely thin SOI (ETSOI) MOSFETs to sub-20-nm gate length,” IEEE Electron Device Lett., 33, 149–151.
Kim, K. and Fossum, J. G. (2001). “Double-gate CMOS: symmetrical- versus asymmetrical-gate devices,” IEEE Trans. Electron Devices, 48, 294–299.
Kim, S.-H. and Fossum, J. G. (2005). “Nanoscale CMOS: potential nonclassical technologies versus a hypothetical bulk-silicon technology,” Solid-State Electron., 49, 595–605.
Kim, S.-H. and Fossum, J. G. (2007). “Design optimization and performance projections of double-gate FinFETs with gate-source/drain underlap for SRAM application,” IEEE Trans. Electron Devices, 54, 1934–1942.
Kim, S.-H., Fossum, J. G., and Trivedi, V. P. (2005). “Bulk inversion in FinFETs and implied insights on effective gate width,” IEEE Trans. Electron Devices, 52, 1993–1997.
Kim, S.-H., Fossum, J. G., and Yang, J.-W. (2006). “Modeling and significance of fringe capacitance in nonclassical CMOS devices with gate-source/drain underlap,” IEEE Trans. Electron Devices, 53, 2143–2150.
Klaassen, F. M. and de Groot, W. C. J. (1980). “Modelling of scaled-down MOS transistors,” Solid-State Electron., 23, 237–242.
Krishnan, S. and Fossum, J. G. (1998). “Grasping SOI floating-body effects,” IEEE Circuits Devices Mag., 14, 32–37.
Kuhn, K. J. (2011). “CMOS scaling for the 22nm node and beyond: device physics and technology,” Proc. Internat. Symp. VLSI Tech., Syst., and Appl., pp. 2–3.
Kwong, M. Y., Kasnavi, R., Griffin, P., Plummer, J. D., and Dutton, R. W. (2002). “Impact of lateral source/drain abruptness on device performance,” IEEE Trans. Electron Devices, 49, 1882–1890.
Lee, T. (1998). The Design of CMOS Radio-Frequency Integrated Circuits. Cambridge: Cambridge University Press.
Lim, H.-K. and Fossum, J. G. (1983). “Threshold voltage of thin-film silicon-on-insulator (SOI) MOSFETs,” IEEE Trans. Electron Devices, ED-30, 1244–1251.
Lin, C.-H., Chang, J., Guillorn, M., Bryant, A., Oldiges, P., and Haensch, W. (2010). “Non-planar device architecture for 15 nm node: FinFET or trigate?,” Proc. IEEE Internat. SOI Conf., pp. 58–59.
Lindert, N., Chang, L., Choi, Y.-K., et al. (2001). “Sub-60-nm quasi-planar FinFETs fabricated using a simplified process,” IEEE Electron Device Lett., 22, 487–489.
Liu, Q., Yagishita, A., Loubet, N., et al. (2010). “Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond,” Proc. IEEE Symp. VLSI Tech., pp. 61–62.
Liu, Y. X., Masahara, M., Ishii, K., et al. (2003). “Flexible threshold voltage FinFETs with independent double gates and an ideal rectangular cross-section Si-fin channel,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 986–988.
López-Villanueva, J. A., Cartujo-Cassinello, P., Gámiz, F., Banqueri, J., and Palma, A. J. (2000). “Effects of inversion-layer centroid on the performance of double-gate MOSFET’s,” IEEE Trans. Electron Devices, 47, 141–146.
Maleville, C. (2011). “Extending planar device roadmap beyond node 20nm through ultra thin body technology,” Proc. Internat. Symp. VLSI Tech., Syst., and Appl., pp. 130–133.
Mathew, L., Du, Y., Thean, A. V-Y., et al. (2004). “CMOS vertical multiple independent gate field effect transistor (MIGFET),” Proc. IEEE Internat. SOI Conf., pp. 187–189.
Mathew, L., Sadd, M., Kalpat, S., et al. (2005). “Inverted-T channel FET (ITFET) – Fabrication and characteristics of vertical-horizontal, thin-body, multi-gate, multi-orientation devices, ITFET SRAM bit-cell operation: a novel technology for 45nm and beyond CMOS,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 731–734.
Mathew, L., Kalpat, S., Stephens, T., et al. (2006). “Undoped channel PMOS FinFET with deposited titanium nitride gate electrode over SiO2 gate dielectric for low leakage applications,” Proc. IEEE Silicon Nanoelectron. Workshop, pp. 7–8.
Mathew, L., Chowdhury, M. M., Hackenberg, J., et al. (2007). “Double-gate CMOS technology with sub-lithographic (<20 nm), 100 nm tall, undoped channel, TiN+HfxZr1-xO2 gate, multiple silicided source/drain with record PMOS Ion/Ioff,” Proc. IEEE Si Nanoelectron. Workshop.
Mazhari, B. and Ioannou, D. E. (1993). “Surface potential at threshold in thin-film SOI MOSFET’s,” IEEE Trans. Electron Devices, 40, 1129–1133.
Moglestue, C. (1986). “Self-consistent calculation of electron and hole inversion layer charges at silicon-silicon dioxide interfaces,” J. Appl. Phys., 59, 3175–3183.
Mohapatra, N. R., Desai, M. P., and Rao, V. R. (2003). “Detailed analysis of FIBL in MOS transistors with high-k gate dielectrics,” Proc. 16th Annual Conf. VLSI Design, pp. 99–104.
Moore, G. E. (1965). “Cramming more components onto integrated circuits,” Electronics, 38, 114.
Na, M. H., Nowak, E. J., Haensch, W., and Cai, J. (2002). “The effective drive current in CMOS inverters,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 121–124.
Natarajan, S., Armstrong, M., Bost, M., et al. (2008). “A 32nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm2 SRAM cell size in a 291Mb array,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 941–943.
Noel, J.-P., Thomas, O., Jaud, M.-A., et al. (2011). “Multi-VT UTBB FDSOI device architectures for low-power CMOS circuit,” IEEE Trans. Electron Devices, 58, 2473–2482.
Nowak, E. (2012). “FinFET isolation issues and second-generation FinFET CMOS,” Advanced Substrate News, 20 (Fall/Winter), 8–9 ().
Numata, T., Uchida, K., Koga, J., and Takagi, S. (2002). “Device design for subthreshold slope and threshold voltage control in sub-100 nm fully depleted SOI MOSFETs,” Proc. IEEE Internat. SOI Conf., pp. 179–180.
Okano, K., Izumida, T., Kawasaki, H., et al. (2005). “Process integration technology and device characteristics of CMOS FinFET on bulk silicon substrate with sub-10 nm fin width and 20 nm gate length,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 739–742.
Okhonin, S., Nagoga, M., Sallese, J. M., and Fazan, P. (2001). “A SOI capacitor-less 1T-DRAM concept,” Proc. IEEE Internat. SOI Conf., pp. 153–154.
Park, D.-G., Cha, T.-H., Lim, K.-Y., et al. (2001). “Robust ternary metal gate electrodes for dual gate CMOS devices,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 671–674.
Park, J.-T. and Colinge, J.-P. (2002). “Multiple-gate SOI MOSFETs: device design guidelines,” IEEE Trans. Electron Devices, 49, 2222–2229.
Pelella, M. M., Fossum, J. G., Suh, D., Krishnan, S., Jenkins, K. A., and Hargrove, M. J. (1996). “Low-voltage transient bipolar effect induced by dynamic floating-body charging in PD/SOI MOSFET’s,” IEEE Electron Device Lett., 17, 196–198.
Sampedro, C., Gámiz, F., Donetti, L., and Godoy, A. (2012). “Reaching sub-32 nm nodes: ET-FDSOI and BOX optimization,” Solid-State Electron., 70, 101–105.
Schulz, T., Pacha, C., Luyken, R. J., et al. (2004). “Impact of technology parameters on device performance of UTB-SOI CMOS,” Solid-State Electron., 48, 521–527.
Shankar, R. (1994). Principles of Quantum Mechanics. New York: Plenum Press.
Shenoy, R. S. and Saraswat, K. C. (2003). “Optimization of extrinsic source/drain resistance in ultrathin body double-gate FETs,” IEEE Trans. Nanotechnology, NANO-2, 265–270.
Shino, T., Kusunoki, N., Higashi, T., et al. (2006). “Floating body RAM technology and its scalability to 32nm node and beyond,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 569–572.
Shrivastava, R. and Fitzpatrick, K. (1982). “A simple model for the overlap capacitance of a VLSI MOS device,” IEEE Trans. Electron Devices, ED-29, 1870–1875.
SIA (1994–2011). International Technology Roadmap for Semiconductors (ITRS). Austin, TX: Semiconductor Industry Association ().
Skotnicki, T. (2011). “Competitive SOC on UTBB SOI,” Proc. IEEE Internat. SOI Conf., pp. 1–61.
Stern, F. (1972). “Self-consistent results for n-type Si inversion layers,” Phys. Rev. B, 5, 4891–4899.
Stern, F. and Howard, W. E. (1967). “Properties of semiconductor surface inversion layers in the electric quantum limit,” Phys. Rev., 163, 816–835.
Suh, D. and Fossum, J. G. (1994). “Dynamic floating-body instabilities in partially depleted SOI CMOS circuits,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 661–664.
Suh, D. and Fossum, J. G. (1995). “A physical charge-based model for non-fully depleted SOI MOSFETs and its use in assessing floating-body effects in SOI CMOS circuits,” IEEE Trans. Electron Devices, 42, 728–737.
Suzuki, E., Ishii, K., Kanemaru, S., et al. (2000). “Highly suppressed short-channel effects in ultrathin SOI n-MOSFETs,” IEEE Trans. Electron Devices, 47, 354–359.
Suzuki, K. and Sugii, T. (1995). “Analytic models for n+-p+ double-gate SOI MOSFETs,” IEEE Trans. Electron Devices, 42, 1940–1948.
Suzuki, K., Tanaka, T., Tosaka, Y., Horie, H., and Arimoto, Y. (1993). “Scaling theory for double-gate MOSFETs,” IEEE Trans. Electron Devices, 40, 2326–2329.
Synopsys, Inc. (2003). Davinci-2003.06 User’s Guide. Durham, NC: Synopsys.
Synopsys, Inc. (2004). Medici-4.0 User’s Manual. Durham, NC: Synopsys.
Synopsys, Inc. (2006). Taurus-2006 User’s Manual. Durham, NC: Synopsys.
Sze, S. M. and Ng, K. K. (2007). Physics of Semiconductor Devices, 3rd edn. Hoboken, NJ: John Wiley & Sons.
Takagi, S., Iwase, M., and Torium, A. (1988). “On the universality of inversion-layer mobility in n- and p-channel MOSFETs,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 398–401.
Takagi, S., Toriumi, A., Iwase, M., and Tango, H. (1994). “On the universality of inversion layer mobility in Si MOSFET’s: Part I – Effects of substrate impurity concentration,” IEEE Trans. Electron Devices, 41, 2357–2368.
Tanaka, T., Suzuki, K., Horie, H., and Sugii, T. (1994). “Ultrafast operation of V-adjusted p+-n+ double-gate SOI MOSFET’s,” IEEE Electron Device Lett., 15, 386–388.
Taur, Y. (2001). “Analytic solutions of charge and capacitance in symmetric and asymmetric double-gate MOSFETs,” IEEE Trans. Electron Devices, 48, 2861–2869.
Taur, Y. and Ning, T. H. (2009). Fundamentals of Modern VLSI Devices, 2nd edn. New York: Cambridge University Press.
Taur, Y., Wann, C. H., and Frank, D. J. (1998). “25 nm CMOS design considerations,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 789–792.
Taur, Y., Liang, X., Wang, W., and Lu, H. (2004). “A continuous, analytic drain-current model for DG MOSFETs,” IEEE Electron Device Lett., 25, 107–109.
Thompson, S., Packan, P., Ghani, T., et al. (1998). “Source/drain extension scaling for 0.1μm and below channel length MOSFETs,” Proc. IEEE Symp. VLSI Tech., pp. 132–133.
Thompson, S. E., Armstrong, M., Auth, C., et al. (2004). “A logic nanotechnology featuring strained silicon,” IEEE Electron Device Lett., 25, 191–193.
Trivedi, V. P. (2005). Physics and design of nonclassical nanoscale CMOS devices with ultra-thin bodies. Unpublished Ph. D. Dissertation, University of Florida, Gainesville.
Trivedi, V. P. and Fossum, J. G. (2003). “Scaling fully depleted SOI CMOS,” IEEE Trans. Electron Devices, 50, 2095–2103.
Trivedi, V. P. and Fossum, J. G. (2005a). “Nanoscale FD/SOI CMOS:thick or thin BOX?,” IEEE Electron Device Lett., 26, 26–28.
Trivedi, V. P. and Fossum, J. G. (2005b). “Quantum-mechanical effects on the threshold voltage of undoped double-gate MOSFETs,” IEEE Electron Device Lett., 26, 579–582.
Trivedi, V. P., Fossum, J. G., and Vandooren, A. (2003). “Non-classical CMOS device design,” Proc. IEEE Internat. SOI Conf., pp. 155–157.
Trivedi, V. P., Fossum, J. G., and Gámiz, F. (2004). “A compact QM-based mobility model for nanoscale ultra-thin body CMOS devices,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 763–766.
Trivedi, V., Fossum, J. G., and Chowdhury, M. M. (2005). “Nanoscale FinFETs with gate-source/drain underlap,” IEEE Trans. Electron Devices, 52, 56–62.
Trivedi, V. P., Fossum, J. G., and Zhang, W. (2007). “Threshold voltage and bulk-inversion effects in nonclassical CMOS devices with undoped ultra-thin bodies,” Solid-State Electron., 51, 170–178.
Tsividis, Y. (1982). “Moderate inversion in MOS devices,” Solid-State Electron., 25, 1099–1104; Erratum, Y. Tsividis (1983). Solid-State Electron., 26, 823.
Uchida, K., Koga, J., Ohba, R., Numata, T., and Takagi, S. (2001). “Experimental evidences of quantum-mechanical effects on low-field mobility, gate-channel capacitance, and threshold voltage of ultrathin body SOI MOSFETs,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 633–636.
Uchida, K., Koga, J., and Takagi, S. (2003). “Experimental study of carrier transport mechanisms in ultrathin-body SOI n- and p-MOSFETs with SOI thickness less than 5nm,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 805–808.
Vandooren, A., Jovanovic, D., Egley, S., et al. (2002). “Scaling assessment of fully depleted SOI technology at the 30 nm gate length generation,” Proc. IEEE Internat. SOI Conf., pp. 25–26.
Vasileska, D. and Ren, Z. (2000). SCHRED-2.0 Manual. West Lafayette, IN: Purdue University.
Veeraraghavan, S. and Fossum, J. G. (1988). “A physical short-channel model for the thin-film SOI MOSFET applicable to device and circuit CAD,” IEEE Trans. Electron Devices, 35, 1866–1875.
Venugopal, R., Ren, Z., Datta, S., Lundstrom, M. S., and Jovanovic, D. (2002). “Simulating quantum transport in nanoscale transistors: real versus mode-space approaches,” J. Appl. Phys., 92, 3730–3739.
Ward, D. E. and Dutton, R. W. (1978). “A charge-oriented model for MOS transistor capacitances,” IEEE J. Solid-State Circuits, SC-13, 703–707.
Wong, H.-S. P., Frank, D. J., and Solomon, P. M. (1998). “Device design considerations for double-gate, ground-plane, and single-gated ultra-thin SOI MOSFET’s at the 25nm channel length generation,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 407–410.
Yan, R.-H., Ourmazd, A., and Lee, K. F. (1992). “Scaling the Si MOSFET: from bulk to SOI to bulk,” IEEE Trans. Electron Devices, 39, 1704–1710.
Yang, J.-W. and Fossum, J. G. (2005). “On the feasibility of nanoscale triple-gate CMOS transistors,” IEEE Trans. Electron Devices, 52, 1159–1164.
Yang, M., Gusev, E. P., Ieong, M., et al. (2003). “Performance dependence of CMOS on silicon substrate orientation for ultrathin oxynitride and HfO2 gate dielectrics,” IEEE Electron Device Lett., 24, 339–341.
Yeh, P. C. and Fossum, J. G. (1995). “Physical subthreshold MOSFET modeling applied to viable design of deep-submicron fully depleted SOI low-voltage CMOS technology,” IEEE Trans. Electron Devices, 42, 1605–1613.
Yoshida, E. and Tenaka, T. (2006). “A capacitorless 1T-DRAM technology using gate-induced drain-leakage (GIDL) current for low-power and high-speed embedded memory,” IEEE Trans. Electron Devices, 53, 692–697.
Yu, B., Chang, L., Ahmed, S., et al. (2002). “FinFET scaling to 10nm gate length,” Tech. Dig. IEEE Internat. Electron Devices Meeting, pp. 251–254.
Zhang, R., Roy, K., and James, D. B. (2001). “Double-gate fully depleted SOI transistors for low-power high-performance nano-scale circuit design,” Proc. 2001 Internat. Symp. Low Power Electronics and Design, pp. 213–218.
Zhang, W., Fossum, J. G., Mathew, L., and Du, Y. (2005). “Physical insights regarding design and performance of independent-gate FinFETs,” IEEE Trans. Electron Devices, 52, 2198–2206.
Zhang, W., Fossum, J. G., Mathew, L. (2006). “The ITFET: a novel FinFET-based hybrid device,” IEEE Trans. Electron Devices, 53, 2335–2343.

Metrics

Altmetric attention score

Full text views

Total number of HTML views: 0
Total number of PDF views: 0 *
Loading metrics...

Book summary page views

Total views: 0 *
Loading metrics...

* Views captured on Cambridge Core between #date#. This data will be updated every 24 hours.

Usage data cannot currently be displayed.