Skip to main content Accessibility help
×
Hostname: page-component-84b7d79bbc-4hvwz Total loading time: 0 Render date: 2024-07-29T06:21:40.609Z Has data issue: false hasContentIssue false

21 - Principles for ultra-low-power digital design

from Section V - Principles for ultra-low-power analog and digital design

Published online by Cambridge University Press:  02 December 2010

Rahul Sarpeshkar
Affiliation:
Massachusetts Institute of Technology
Get access

Summary

A small leak will sink a great ship.

Benjamin Franklin

In this chapter, we shall review important principles for ultra-low-power digital circuit and system design. We shall focus on operation with extremely low power-supply voltages and on subthreshold operation, although we shall provide some analysis of moderate-inversion and strong-inversion operation with the EKV model as well. As Chapter 6 on deep submicron effects in transistors discussed, because threshold voltages scale significantly less strongly than power-supply voltages, subthreshold operation is an increasingly dominant fraction of the voltage operating range. Subthreshold operation has become and will continue to get increasingly fast such that ultra-low-power operation in this regime does not sacrifice bandwidth in many applications. In biomedical and bioelectronic applications, subthreshold operation is ideal since bandwidth requirements are typically modest while energy efficiency is of paramount importance. An insightful paper by Meindl, that was way ahead of its time, pioneered subthreshold digital design. An analysis by Burr and Peterson analyzed the optimal energy efficiency of ultra-low-power subthreshold circuits. A more recent publication by Vittoz, the pioneer of subthreshold analog design, has analyzed issues in subthreshold digital design using his EKV model. Through such pioneering and other work, subthreshold digital design has been revived and is an active field of research in several academic and industrial institutions.

We shall begin by discussing the operation of a subthreshold CMOS inverter. Operation in the subthreshold regime is highly subject to transistor mismatch.

Type
Chapter
Information
Ultra Low Power Bioelectronics
Fundamentals, Biomedical Applications, and Bio-Inspired Systems
, pp. 617 - 650
Publisher: Cambridge University Press
Print publication year: 2010

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

Swanson, R. M. and Meindl, J. D.. Ion-implanted complementary MOS transistors in low-voltage circuits. IEEE Journal of Solid-State Circuits, 7 (1972), 146–153.CrossRefGoogle Scholar
Burr, J. and Peterson, A. M., Ultra low power CMOS technology. Proceedings of the 3rd NASA Symposium on VLSI Design, Moscow, Idaho, 4.2.11–14.12.13, 1991.Google Scholar
Vittoz, E.. Weak Inversion for Ultimate Low-Power Logic. In Low-power Electronics Design, ed. Piguet, C.. (Boca Raton: CRC Press; 2005), pp. 16–11–16–18.Google Scholar
Chandrakasan, A. P. and Brodersen, R. W.. Minimizing power consumption in digital CMOS circuits. Proceedings of the IEEE, 83 (1995), 498–523.CrossRefGoogle Scholar
Piguet, Christian. Low-power Electronics Design (Boca Raton: CRC Press, 2005).Google Scholar
Zhai, B., Nazhandali, L., Olson, J., Reeves, A., Minuth, M., Helfand, R., Sanjay, Pant, Blaauw, D. and Austin, T.. A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency. Proceedings of the IEEE Symposium on VLSI Circuits, Honolulu, 154–155, 2006.Google Scholar
Kwong, J., Ramadass, Y. K., Verma, N. and Chandrakasan, A. P.. A 65 nm Sub-Vt, microcontroller with integrated SRAM and switched capacitor DC-DC converter. IEEE Journal of Solid-State Circuits, 44 (2009), 115–126.CrossRefGoogle Scholar
Pu, Y., Gyvez, J. P., Corporaal, H. and Ha, Y.. An ultra-low-energy/frame multi-standard JPEG co-processor in 65nm CMOS with sub/near-threshold power supply. Proceedings of the IEEE Solid-State Circuits Conference (ISSCC), San Francisco, 146–147,147a, 2009.Google Scholar
Ekanayake, V., Kelly, C. and Manohar, R.. An ultra low-power processor for sensor networks. Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Boston, Mass., 27–36, 2004.Google Scholar
Lee, S. and Sakurai, T.. Run-time voltage hopping for low-power real-time systems. Proceedings of the 37th Annual IEEE ACM Design Automation Conference, Los Angeles, CA, 806–809, 2000.CrossRefGoogle Scholar
Kim, C. H. and Roy, K.. Dynamic Vth scaling scheme for active leakage power reduction. Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, Paris, 163–167, 2002.Google Scholar
Feynman, R. P., Sands, M. L. and Leighton, R. B.. Lecture 19: The principle of least action. In The Feynman Lectures on Physics: Commemorative Issue, Vol. 2, ed. (Reading, MA: Addison Wesley; 1989), pp. 19.11–19.14.Google Scholar
Svensson, L.. Adiabatic and Clock-Powered Circuits. In Low-Power Electronics Design, ed. Piguet, C. (Boca Raton: CRC Press; 2005), pp. 15.11–15.15.Google Scholar
Athas, W. C., Svensson, L. J., Koller, J. G., Tzartzanis, N. and Chou, E. Y.-C.. Low-power digital systems based on adiabatic-switching principles. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2 (1994), 398–407.CrossRefGoogle Scholar
Athas, W., Tzartzanis, N., Mao, W., Peterson, L., Lal, R., Chong, K., Moon, J-S., Svensson, L. and Bolotski, M.. The design and implementation of a low-power clock-powered microprocessor. IEEE Journal of Solid-State Circuits, 35 (2000), 1561–1570.CrossRefGoogle Scholar
Karakiewicz, R., Genov, R. and Cauwenberghs, G.. 1.1 TMACS/mW Load-Balanced Resonant Charge-Recycling Array Processor. Proceedings of the IEEE Custom Integrated Circuits Conference (CICC), San Jose, California, 603–606, 2007.Google Scholar
Athas, W. C., Svensson, L. J. and Tzartzanis, N.. A resonant signal driver for two-phase, almost-non-overlapping clocks. Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)Atlanta, GA, 129–132, 1996.Google Scholar
Svensson, L., Athas, W. C. and Wen, R. S. C.. A sub-CV2 pad driver with 10 ns transition time. Proceedings of the International Symposium on Low Power Electronics and Design, Monterey, California, 105–108, 1996.Google Scholar
Ammer, J., Bolotski, M., Alvelda, P. and Knight, Jr. T. F.A 160 × 120 pixel liquid-crystal-on-silicon microdisplay with an adiabatic DACM. Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, 212–213, 1999.Google Scholar

Save book to Kindle

To save this book to your Kindle, first ensure coreplatform@cambridge.org is added to your Approved Personal Document E-mail List under your Personal Document Settings on the Manage Your Content and Devices page of your Amazon account. Then enter the ‘name’ part of your Kindle email address below. Find out more about saving to your Kindle.

Note you can select to save to either the @free.kindle.com or @kindle.com variations. ‘@free.kindle.com’ emails are free but can only be saved to your device when it is connected to wi-fi. ‘@kindle.com’ emails can be delivered even when you are not connected to wi-fi, but note that service fees apply.

Find out more about the Kindle Personal Document Service.

Available formats
×

Save book to Dropbox

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Dropbox.

Available formats
×

Save book to Google Drive

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Google Drive.

Available formats
×