Hostname: page-component-8448b6f56d-mp689 Total loading time: 0 Render date: 2024-04-25T06:34:18.070Z Has data issue: false hasContentIssue false

The effect of polymer hardness, pore size, and porosity on the performance of thermoplastic polyurethane-based chemical mechanical polishing pads

Published online by Cambridge University Press:  03 July 2013

Abaneshwar Prasad*
Affiliation:
Cabot Microelectronics Corporation, 870 North Commons Drive, Aurora, Illinois 605404
George Fotou*
Affiliation:
Cabot Microelectronics Corporation, 870 North Commons Drive, Aurora, Illinois 605404
Shoutian Li
Affiliation:
Cabot Microelectronics Corporation, 870 North Commons Drive, Aurora, Illinois 605404
*
a)Address all correspondence to these author. e-mail: abaneshwar_prasad@cabotcmp.com
Get access

Abstract

Solid-state microcellular foaming (SSMF) process was used to produce porous chemical mechanical polishing (CMP) pads in a variety of pore size and porosity range, using a variety of thermoplastic polyurethane (TPU) resin hardness. By controlling the pore size, porosity, and pad hardness, one is able to manufacture CMP pads that offer tunable pad properties. A brief introduction to the SSMF manufacturing process and thereby, unique microstructures created is first addressed followed by inner layer dielectric (ILD) CMP results, describing the effects of top TPU foam sheet properties, such as hardness, pore size, and porosity on ILD removal rate (RR) and wafer defects. Softer TPU-based porous pads showed significantly lower wafer scratch counts, while only a moderate increase in the ILD RR was seen with increasing resin hardness for similar pore size and porosity pads. Pore size has insignificant influence on wafer defect count but has significant influence on the ILD RR profile. CMP pads made from small pore size foams cause a nonflat RR profile.

Keywords

Type
Invited Papers
Copyright
Copyright © Materials Research Society 2013 

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

Taur, Y., Mii, Y-J., Frank, D.J., Wong, H-S., Buchanan, D.A., Wind, S.J., Rishton, S.A., Sai-Halasz, G.A., and Nowak, E.J.: CMOS scaling into the 21st century: 0.1 μm and beyond. IBM J. Res. Dev., 39, 245 (1995).CrossRefGoogle Scholar
Steigerwald, J.M., Murarka, S.P., and Gutmann, R.J.: Chemical Mechanical Planarization of Microelectronics Material (John Wiley & Sons, Inc., New York, NY, 1997).CrossRefGoogle Scholar
Luo, J. and Dornfeld, D.A.: Integrated Modeling of Chemical Mechanical Planarization for Sub-micron IC Fabrication (Springer-Verlag, Berlin, Germany, 2004).CrossRefGoogle Scholar
Doi, T.K.: Polishing technology, in Handbook of Lapping and Polishing, edited by Ioan, D. and Marinescu, D. (CRC Press, 2006), chap. 6.Google Scholar
Zantye, P.B., Kumar, A., and Sikder, A.K.: Chemical mechanical planarization for microelectronic applications. Mater. Sci. Eng. Rep. 45, 89 (2004).CrossRefGoogle Scholar
Borst, C.L., Gill, W.N., and Gutmann, R.J.: Chemical Mechanical Polishing of Low Dielectric Constant Polymers and Organosilicate Glasses: Fundamental Mechanisms and Application to IC Interconnect Technology (Kluwer Academic Publishers, Boston, MA, 2002).CrossRefGoogle Scholar
Runnels, S.R. and Eyma, L.M.: Tribology analysis of chemical-mechanical polishing. J. Electrochem. Soc. 141(7), 1698, 1900 (1994).CrossRefGoogle Scholar
Muldowney, G.P., Elmufdi, C.L., Jiang, B., and Palaparthi, R.: Measurement of CMP pad texture contact, deformation and flow resistance: Advances in pad design and process predictability. (12th Int. CMP-MIC Conf. Proc. 20, Fremont, CA, 2007).Google Scholar
Thakurta, D.G., Borst, C.L., Schwendman, D.W., Gutman, R.J., and Grill, W.N.: Pad porosity, compressibility and slurry delivery effects in chemical-mechanical planarization: Modeling and experiments. Thin Solid Films 336(1–2), 181 (2000).CrossRefGoogle Scholar
Bramblett, T., Narayanan, S., and Tregub, A.: Characterization of CMP consumables: Correlation between material properties and CMP performance (12th Int. CMP-MIC Conf. Proc. 20, Fremont, CA, 2007).Google Scholar
Wang, D., Lee, J., Holland, K., Bibby, T., and Cale, T.: Von Mises stress in chemical-mechanical polishing process. J. Electrochem. Soc. 144(3), 1121 (1997).CrossRefGoogle Scholar
Oliver, M. R. (ed.), Chemical-Mechanical Planarization of Semiconductor Materials (Springer-Verlag, Heidelberg, Germany, 2004), pp. 167213.CrossRefGoogle Scholar
Reinhardt, H.F., Roberts, J.V.H., McClain, H.G., Budinger, W.D., and Jensen, E.M.: Polymeric polishing pad containing hollow polymeric microelements. U.S. Patent No. 5 578 362, 1996.Google Scholar
Iwase, T. and Iwao, T.: Polishing pads. U.S. Patent No. 7897250, 2011.Google Scholar
Yu, J., Jia, D., Venkataraman, S.S., and Li, Y.: 1H-benzotriazole incorporated pad for chemical mechanical planarization of copper. J. Electrochem. Soc., 157(3), H312 (2010).CrossRefGoogle Scholar
Prasad, A.: Microporous polishing pads. U.S. Patent Nos. 6 896 593, 6 913 517, and 6 935 931, 2005.Google Scholar
Prasad, A.: Microporous polishing pads. U.S. Patent No. 6 899 598, 2005.Google Scholar
McGrath, J. and Davis, C.: Polishing pad surface characterization in CMP. Mater. Process. Technol. 153154, 666673 (2004)CrossRefGoogle Scholar
Mochizuki, Y.: Urethane molded products for polishing pad and method for making same. U.S. Patent No. 6239188B1, 2001.Google Scholar
Shiho, H., Aoi, H., Hasegawa, K., and Kawahashi, N.: Polishing pad. European Patent No. 1 418 021A1, 2004.Google Scholar
Imada, K.: Method of producing polishing sheet material. U.S. Patent No. 5094670, 1992.Google Scholar
Hoffstein, M.F. and Shinagawa, T.: Inverted cell pad material for grinding, lapping, shaping and polishing. U.S. Patent No. 4841680, 1989.Google Scholar
Crevasse, A.M., Easter, W.G., Maze, J.A., and Micelli, F.: Method for making porous CMP article. U.S. Patent No. 6290883, 2001.Google Scholar
Yeomans, D.R., Denardis, D., and Borucki, L.: Design and evaluation of pad grooves for copper CMP. J. Electrochem. Soc., 155(10), 797 (2008).CrossRefGoogle Scholar
Elmufdi, C. and Muldowney, G.: CMP pad having unevenly spaced grooves. U.S. Patent No. 7 267 610, 2007.Google Scholar
Baker, A.R.: The origin of edge effect in chemical mechanical planarization. In Proc. Electrochem. Soc., edited by J. Ali and S. Raghvan. (Electrochemical Society Inc., Pennington, NJ, 1992), 96–22; p. 228.Google Scholar
Cook, L.: Chemical process in glass polishing. J. Non-Cryst. Solids 120(1–3), 152 (1990).CrossRefGoogle Scholar
Castillo-Mejia, D., Kelchner, J., and Beaudoin, S.: Polishing pad surface morphology and chemical mechanical planarization. J. Electrochem. Soc. 151(4), G271 (2004).CrossRefGoogle Scholar
Zhang, C. and Luo, J.: Contribution of porous pad to chemical mechanical polishing. Solid State Phenom. 121123, 1133 (2007).CrossRefGoogle Scholar
Elmufdi, C.L. and Muldowney, G.P.: The impact of diamond conditioning on surface contact in CMP pads. In Mat. Res. Soc. Symp. Proc. : Advances and challenges in CMP, edited by S. V. Babu, K. C. Cadien, J. G. Ryan, and H. Yano (MRS, Warrendale, PA, 2007) 991, pp. C01-02.CrossRefGoogle Scholar
Markham, C.L.: 12th Int. CMP-MIC Conf. Proc., Vol. 20, Fremont, CA, 2007.Google Scholar
Martini-Vvedensky, J.E., Waldman, F.A., and Suh, N.P.: The production and analysis of microcellular foams. (Society of Plastic Engineers Technical paper, 28, 1982) p. 674.Google Scholar
Martini-Vvedensky, J.E., Suh, N.P., and Waldman, F.A.: Microcellular closed cell foams and their method of manufacture. U.S. Patent No. 4 473 665, 1984.Google Scholar
Zhang, Z. and Handa, Y.P.: A in situ study of plasticization of polymers by high-pressure gases. J. Polym. Sci. Part B: Polym. Phys. 36, 977 (1998).3.0.CO;2-D>CrossRefGoogle Scholar
Kumar, V. and Schirmer, H.G.: Semi-continuous production of solid state PET foams. (Society of Plastics Engineers Technical Papers, 41, 1995) p. 2189.Google Scholar
Kumar, V. and Schirmer, H.: A semi-continuous process to produce microcellular foams. U.S. Patent No. 5 684 055, 1997.Google Scholar
Seeler, K.A., Billington, S.A., Drake, B.D., and Kumar, V.: Net-shape forming of sintered microcellular foam parts. ASME Cell. Microcell. Mater., 76, 65 (1996).Google Scholar
Nadella, K., Powers, S., and Malone, T.: Methods and pressure vessels for solid-state microcellular processing of thermoplastic rolls or sheets. U.S. Patent No. 8 080 194, 2010.Google Scholar
Oertel, G.. Polyurethane Handbook (Hanser Press, Munich, Germany, 1994).Google Scholar
Szycher, M.. Szycher's Handbook of Polyurethanes (CRC Press, Boca Raton, CA, 1999), pp. 18121823.CrossRefGoogle Scholar
Michaeli, W. and Heinz, R.: Foam extrusion of thermoplastics polyurethane using CO2 as blowing agent. Macromol. Mater. Eng. 284, 35 (2000).3.0.CO;2-C>CrossRefGoogle Scholar
Nema, A.K., Deshmukh, A.V., Palanivelu, K., Sharma, S.K., and Malik, T.: Effect of exo- and endothermic blowing and wetting agents on morphology, density and hardness of thermoplastic polyurethanes foams. J. Cell. Plast. 44, 277 (2008).CrossRefGoogle Scholar
Goel, S.K. and Beckman, E.J.: Generation of microcellular polymeric foams using supercritical carbon dioxide. I: Effect of pressure and temperature on nucleation. Polym. Eng. Sci. 34(14), 1137 (1994).CrossRefGoogle Scholar
Lin, K.C., Chen, C.H., Peng, H.C., Kuan, C.F., and Kuan, H.C.: Batch foaming behavior of TPU materials. (Society of Plastics Engineers Technical Papers, 78, 2012), p. 155.Google Scholar
Ito, S., Matsunaga, K., Tajima, M., and Yoshida, Y.: Generation of microcellular polyurethane with supercritical carbon dioxide. J. Appl. Polym. Sci. 106, 3581 (2007).CrossRefGoogle Scholar
Dai, X., Liu, Z., Wang, Y., Yang, G., Xu, J., and Han, B.: High damping properties of microcellular polymer prepared by friendly environmental approach. J. Supercrit. Fluids 33, 259 (2005).CrossRefGoogle Scholar
Prasad, A., Xiang, H., Wang, J., and Remsen, E.E.: Analysis of pre- and post-conditioned polyurethane CMP pad surfaces as a function of conditioning temperature. Electrochem. Trans. 3(41), 31 (2007).Google Scholar
Prasad, A., Remsen, E.E., and Xiang, H.: Characterization of physical and chemical changes in polyurethane pad surfaces during CMP: Thermo-set (PU) vs. thermoplastic (TPU), 11th Int. CMP-MIC Conf. Proc., Vol. 19, Freemont, CA, 2006, p. 54.Google Scholar
Ring, T., Prasad, A., and Dirksen, J.: Dynamic CMP pad asperity population balance for conditioning and polishing, 13th Int. CMP-MIC Conf. Proc., Vol. 21, Freemont, CA, 2008.Google Scholar
Kasai, T., Woo Nam, C., Li, S., Kasthurirangan, J., Fortino, W., Prasad, A., Gaudet, G., Naman, A., and Sun, F.: Next generation polish pad tunability on CMP performance, Proc. Int. Conf. Planarization/CMP Technol., Fukuoka, Japan, 2009 p. 91.Google Scholar
Sun, F., Hawkins, J., Tsai, J., Chiu, G., and Naman, A.: A matching game for CMP pads and conditioners CMP and cleaning. ECS Trans. 18, 517 (2009).CrossRefGoogle Scholar
James, D.B.: Pad properties during polishing and their effects on polishing performance, 6th Int. CMP-MIC Conf. Proc., Vol. 14, Freemont, CA, 2001.Google Scholar
Bajaj, R., Desai, M., Jairath, R., Stell, M. and Tolles, R.: Effect of polishing pad material property on chemical mechanical polishing (CMP) process, in Mat. Soc. Symp. Proc.: Advanced Metallization for Devices and Circuits – Science, Technology and manufacturing III. (MRS Warrendale, PA, 1994) 337, p. 637.Google Scholar
Moon, Y.: Mechanical aspect of material removal rate mechanism in chemical mechanical polishing (CMP). Ph.D. Thesis, University of California, Berkley, CA, 1999.Google Scholar
Ramsdell, J. E.: Characterization of chemical structure, morphology, and mechanical response of polyurethane pad surface as a result of exposure to common chemical mechanical planarization (CMP) environment. Ph.D. Thesis, University of Central Florida, Tampa, FL (2002).Google Scholar
Kim, H.-J., Kim, H.-Y., and Jeong, H.-D.: Viscoelastic behavior of polishing pad and its influence on polishing non-uniformity. Semiworld J. 2, 1 (2002).Google Scholar
Kim, B.S., Tucker, M.H., Kelchner, J.D., and Beaudin, S.P.: Study on the mechanical properties of CMP pads. IEEE Trans. Semicond. Manuf. 21(3), 454 (2008).Google Scholar
Allison, W.C., Swisher, R.G., Wang, A.E., and Patker, S.D.: Characterization and application of novel CMP pad. Proc. Semicon. West, San Jose, CA, 2003.Google Scholar
Li, S., Gaudet, G., and Nair, J.: ILD CMP with silica abrasive particles: Effect of pore size of CMP pads on removal rate profiles. ECS J. Solid State Sci. Technol. 2(3), P97 (2013).CrossRefGoogle Scholar
Kumar, V. and Weller, J.E.: On the skin thickness of microcellular foams: The effect of foaming temperature (Society of Plastics Engineers Technical Papers, 43, 1997) p. 2037.CrossRefGoogle Scholar
Nadella, K., Mehta, F., Kumar, V., and Li, W.: Predictions of density variation in thick microcellular sheets (Society of Plastics Engineers Technical Papers, 50, 2004) p. 2610.Google Scholar
Vieth, W.: Diffusion in and through Polymers, Carl Hanser Press, Munich, p. 1544, 165–197 (1991).Google Scholar
Robinson, K.: Fundamentals of CMP slurry. In Chemical-Mechanical Planarization of Semiconductor Material, edited by Oliver, M.R.. (Springer-Verlag, Heidelberg, Germany, 2005).Google Scholar
Gibson, L.G. and Ashby, M.F.: Cellular Solids: Structure and Properties, 2nd ed. (Cambridge University Press, Cambridge, UK, 1999).Google Scholar
Roberts, A.P. and Garboczi, E.J.: Elastic properties of model random three-dimensional open cell solid. J. Mater. Phys. Solids, 50, 33 (2002).CrossRefGoogle Scholar
Juntunen, R.P., Kumar, V., Weller, J.E., and Bezubic, W.R.: Impact strength of high density microcellular poly(vinyl chloride) foams. J Vinyl. Additive Technol., 6(2), 93 (2000).CrossRefGoogle Scholar
Bajaj, R., Hymes, S., Vaduri, N., and Fisher, S.: Opportunities and challenges in development of new CMP pad platform. Proc. Of 22nd CMPUG, San Jose, CA, May 2009.Google Scholar
Sugimoto, F., Arimoto, Y., and Ito, T.: Simultaneous temperature measurement of wafers in chemical mechanical polishing of silicon dioxide layer. Jpn. J. Appl. Phys. 34, 6314 (1995).CrossRefGoogle Scholar
Li, S., Gaudet, G., Sun, F., and Naman, A.: ILD CMP with silica abrasive particles: Interfacial removal kinetics and effect of pad surface textures. J. Electrochem. Soc. 157(11), H1061 (2010).CrossRefGoogle Scholar
Homma, Y.: Dynamic mechanism of chemical mechanical polishing analyzed to correct Preston’s empirical model. J. Electrochem. Soc. 153, G587 (2006).CrossRefGoogle Scholar