Hostname: page-component-848d4c4894-wg55d Total loading time: 0 Render date: 2024-04-30T13:28:58.503Z Has data issue: false hasContentIssue false

Lowering the formation temperature of the C54-TiSi2 phase using a metallic interfacial layer

Published online by Cambridge University Press:  31 January 2011

C. Cabral Jr.
Affiliation:
IBM T. J. Watson Research Center, Yorktown Heights, New York 10598
L. A. Clevenger
Affiliation:
IBM T. J. Watson Research Center, Yorktown Heights, New York 10598
J. M. E. Harper
Affiliation:
IBM T. J. Watson Research Center, Yorktown Heights, New York 10598
F. M. d'Heurle
Affiliation:
IBM T. J. Watson Research Center, Yorktown Heights, New York 10598
R. A. Roy
Affiliation:
IBM T. J. Watson Research Center, Yorktown Heights, New York 10598
K. L. Saenger
Affiliation:
IBM T. J. Watson Research Center, Yorktown Heights, New York 10598
G. L. Miles
Affiliation:
IBM Microelectronics, Essex Junction, Vermont 05452
R. W. Mann
Affiliation:
IBM Microelectronics, Essex Junction, Vermont 05452
Get access

Abstract

We demonstrate that the formation temperature of the C54 TiSi2 phase from the bilayer reaction of Ti on Si is lowered by approximately 100 °C by placing an interfacial layer of Mo or W between Ti and Si. Upon annealing above 500 °C, the C49 TiSi2 phase forms first, as in the reaction of Ti directly on Si. However, the temperature range over which the C49 phase is stable is decreased by approximately 100 °C, allowing C54 TiSi2 formation below 700 °C. Patterned submicron lines (0.25−1.0 μm wide) fabricated without the Mo layer contain only the C49 TiSi2 phase after annealing to 700 °C for 30 s. With a Mo layer less than 3 nm thick between Ti and Si, however, a mixture of C49 and C54 TiSi2 was formed, resulting in a lower resistivity. The enhanced formation of the C54 TiSi2 is attributed to an increased density of nucleation sites for the C49-C54 phase transformation, arising from a finer grained precursor C49 phase.

Type
Articles
Copyright
Copyright © Materials Research Society 1997

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

1.Beyers, R. and Sinclair, R., J. Appl. Phys. 57, 5240 (1985).Google Scholar
2.d'Heurle, F. M., Gas, P., Engström, I., Nygren, S., Östling, M., and Petersson, C. S., IBM RC Report 11151, Yorktown Heights, NY (1985).Google Scholar
3.Lasky, J., Nakos, J., Cain, O., and Geiss, P., IEEE Trans. Electron Devices ED-38, 2629 (1991).Google Scholar
4.Nygren, S. and d'Heurle, F. M., Solid State Phenomena, 23&24, 81 (1992).CrossRefGoogle Scholar
5.Colgan, E. G., Gambino, J. P., and Hong, Q. Z., Mater. Sci. Eng. R16, 43 (1996).Google Scholar
6.Ohguro, T., Nakamura, S., Koike, M., Morimoto, T., Nishiyama, A., Ushiku, Y., Yoshitomi, T., Ono, M., Saito, M., and Iwai, H., IEEE Trans. Electron Devices ED-41, 2305 (1994).Google Scholar
7.Li, X-H., Carlsson, R. A., Gong, S. F., and Hentzell, H. T. G., J. Appl. Phys. 72, 514 (1992).Google Scholar
8.Kuwano, H., Phillips, J. R., and Mayer, J. W., Appl. Phys. Lett. 56, 440 (1990).CrossRefGoogle Scholar
9.Tung, R. T., in Silicide Thin Films—Fabrication, Properties and Applications, edited by Tung, R. T., Maex, K., Pellegrini, P. W., and Allen, L. H. (Mater. Res. Soc. Symp. Proc. 402, Pittsburgh, PA, 1996), p. 101.Google Scholar
10.Mann, R. W., Miles, G. L., Knotts, T. A., Rakowski, D. W., Clevenger, L. A., Harper, J. M. E., d'Heurle, F. M., and Cabral, C., Jr., Appl. Phys. Lett. 67, 3729 (1995).CrossRefGoogle Scholar
11.Clevenger, L. A., Mann, R. W., Miles, G. L., Harper, J. M. E., d'Heurle, F. M., Cabral, C., Jr., Saenger, K. L., Knotts, T. A., and Rakowski, D. W., Proc. VLSI Multilevel Interconnection Conf., 626 (1995).Google Scholar
12.Holloway, K. and Sinclair, R., J. Appl. Phys. 61, 1359 (1987).Google Scholar
13.Ma, Z., Xu, Y., Allen, L. H., and Lee, S., J. Appl. Phys. 74, 2954 (1993).Google Scholar
14.Cabral, C., Jr., Clevenger, L. A., Stephenson, G. B., Brauer, S., Morales, G., and Ludwig, K. F., Jr., in Applications of Synchrotron Radiation Techniques to Materials Science II, edited by Perry, D. L., Shinn, N., D'Amico, K., Ice, G., and Terminello, L. (Mater. Res. Soc. Symp. Proc. 375, Pittsburgh, PA, 1995), p. 253.Google Scholar
15.Ma, Z., Allen, L. A., and Allman, D. D. J., J. Appl. Phys. 77, 4384 (1995).Google Scholar
16.Raaijmakers, Ivo J. M. M. and Kim, K. B., J. Appl. Phys. 67, 6255 (1990).Google Scholar
17.d'Heurle, F. M. and Gas, P., J. Mater. Res. 1, 1 (1986).Google Scholar
18.Ma, Z., Allen, L. A., and Allman, D. D. J., Thin Solid Films 253, 451 (1994).CrossRefGoogle Scholar
19.Clevenger, L. A., Mann, R. W., Miles, G. L., Harper, J. M. E., Cabral, C., Jr., d'Heurle, F. M., Domenicucci, A., and Saenger, K. L., J. Mater. Res. (in press).Google Scholar
20.d'Heurle, F. M., VLSI Science and Technology, edited by Dell'Oca, C. and Bullis, W. M. (Electrochemical Society, Pennington, NJ, 1982), p. 194.Google Scholar