Skip to main content Accessibility help
×
Hostname: page-component-8448b6f56d-c47g7 Total loading time: 0 Render date: 2024-04-19T00:31:59.852Z Has data issue: false hasContentIssue false

9 - Assessment of Electromigration Damage in Large On-Chip Power Grids

Published online by Cambridge University Press:  05 May 2022

Paul S. Ho
Affiliation:
University of Texas, Austin
Chao-Kun Hu
Affiliation:
IBM T J Watson Research Center, New York
Martin Gall
Affiliation:
GlobalFoundries
Valeriy Sukharev
Affiliation:
Siemens Business
Get access

Summary

A very different picture of the redistribution of metal density and stress, caused by electric stressing, can be expected in multibranch interconnect structures formed by connected metal lines within the same metal layer. The absence of diffusion barriers in line junctions allows atoms to freely migrate between lines along the trajectories of the current carriers. When a multibranch structure includes metal lines that are connected in parallel, the creation of a void in one of the parallel branches does not necessarily result in a failure, which contrasts with what happens in a single line segment, because current can continue to flow in the unvoided parallel lines. The on-chip power/ground (p/g) grid is an example of such electrically redundant multibranch structures. In this chapter, we review a recently developed assessment methodology of the p/g grid MTTF and describe a novel experimental technique that could validate the proposed methodology. EM assessment performed on the grids with tens of millions of nodes has shown that the formation of the first void alone didn’t cause a grid failure. A failure criterion of 10% voltage drop increase was met due to cumulative effect of nucleation of several voids and their growth in the failed branches.

Type
Chapter
Information
Electromigration in Metals
Fundamentals to Nano-Interconnects
, pp. 380 - 413
Publisher: Cambridge University Press
Print publication year: 2022

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

Warnock, J., Circuit design challenges at the 14nm technology node, Proceedings of the 48th Annual Design Automation Conference (DAC) (San Francisco: ACM, 2011), 464467.CrossRefGoogle Scholar
Sukharev, V., Beyond Black’s equation: full-chip EM/SM assessment in 3D IC stack, Microelectron. Engineering 120 (2014), 99105.CrossRefGoogle Scholar
Lienig, J., Thiele, M., Fundamentals of Electromigration-Aware Integrated Circuit Design (Switzerland: Springer, 2018).Google Scholar
Ohring, M., Reliability and Failure of Electronic Materials and Devices (San Diego: Academic Press, 1998).Google Scholar
Sukharev, V. and Najm, F. N., Electromigration check: where the design and reliability methodologies meet, IEEE Transactions on Device and Materials Reliability 18 (2018), 498507.Google Scholar
Black, J. R., Electromigration: a brief survey and some recent results, IEEE Transactions on Electron Devices 16 (1969), 338347.Google Scholar
Hau-Riege, S. P. and Thompson, C. V., Experimental characterization and modeling of the reliability of interconnect trees, Journal of Applied Physics 89 (2001), 601609.Google Scholar
Lloyd, J. R., New models for interconnect failure in advanced IC technology. Proceedings of the 14th International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA) (Piscataway: IEEE, 2008), 297302.Google Scholar
Hauschildt, M., Gall, M., Hennesthal, C. et al., Electromogration void nucleation and growth analysis using large-scale early failure statistics. Proceedings of the 13th International Workshop on Stress-Induced Phenomena and Reliability in 3D Microelectronics, ed. Ho, P. S., Hu, C. K., Nakamoto, M., et al. (Kyoto: AIP Conference Proceedings 1601, 2014), 8998.Google Scholar
Hauschildt, M., Hennesthal, C., Talut, G., et al., Electromigration early failure void nucleation and growth phenomena in Cu and Cu(Mn) interconnects, Proceedings of the 2013 IEEE International Reliability Physics Symposium (IRPS) (Anaheim: IEEE, 2013), 2C.1.1–2C.1.1-6.Google Scholar
Gan, G. L., Thompson, C. V., Pey, K. L., and Choi, W. K., Experimental characterization and modeling of the reliability of three-terminal dual-damascene Cu interconnect trees, Journal of Applied Physics 94 (2003), 12221228.Google Scholar
Lin, M.-H. and Oates, T., Electromigration failure time model of general circuit-like interconnects, IEEE Transactions on Device and Materials Reliability 17 (2017), 381398.CrossRefGoogle Scholar
Thompson, C. V., Hau-Riege, S. P., and Andleigh, V. K., Modeling and experimental characterization of electromigration in interconnect trees, Proceedings of the 5th International Workshop on Stress-Induced Phenomena in Metallization, ed. Kraft, O., Arzt, E., Volkert, C., and Ho, P. S.. (Stuttgart: AIP Conference Proceedings 491, 1999), 150162.Google Scholar
Thompson, C. V., Gan, C. L., Alam, S. M., and Troxel, D. E., Experiments and models for circuit-level assessment of the reliability of Cu metallization, 2010 IEEE International Interconnect Technology Conference Proceedings (IITC) (Burlingame: IEEE, 2004), 6972.Google Scholar
Dion, M. J., Electromigration lifetime enhancement for lines with multiple branches, Proceedings of the 2000 IEEE International Reliability and Physics Symposium (IRPS) (San Jose: IEEE, 2000), 324332.Google Scholar
Chen, H.-B., Tan, S. X.-D., Huang, X., Kim, T., and Sukharev, V., Analytical modeling and characterization of electromigration effects for multibranch interconnect trees, IEEE Transactions on Computer-Aided Design for Integrated Circuits and Systems 35 (2016), 18111824.Google Scholar
Blech, I. A., Electromigration in thin aluminum films on titanium nitride, Journal of Applied Physics 47 (1976), 12031208.Google Scholar
Chatterjee, S., Fawaz, M. B., and Najm, F. N., Redundancy-aware electromigration checking for mesh power grids, Proceedings of the 32th International Conference on Computer-Aided Design (ICCAD) (San Jose: IEEE/ACM, 2013), 540547.Google Scholar
Huang, X., Yu, T., Sukharev, V., and Tan, S. X.-D., Physics-based electromigration assessment for power grid networks, Proceedings of the 51st Annual Design Automation Conference (DAC) (San Francisco: ACM, 2014), 16.Google Scholar
Ouattara, B., Doyen, L., Ney, D., Mehrez, H., and Bazargan-Sabet, P., Power grid redundant path contribution in system on chip (SoC) robustness against electromigration, Microelectronics Reliability 54 (2014), 17021706.Google Scholar
Li, B., Kim, A., McLaughlin, P., Linder, B., and Christiansen, C., Electromigration characteristics of power grid like structures, Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS) (San Francisco: IEEE, 2018), 4F3.1–4F3.5.Google Scholar
Zhou, C., Fung, R., Wen, S.-J., Wong, R., and Kim, C., Electromigration effects in power grids characterized from a 65 nm test chip, IEEE Transactions on Device and Materials Reliability 20 (2020), 7483.Google Scholar
Chen, F., Mccullen, E., Christiansen, C., et al., Diagnostic electromigration reliability evaluation with a local sensing structure, Proceedings of the 2015 IEEE International Reliability and Physics Symposium (IRPS). (Monterey: IEEE, 2015), 2D4.1–2D4.7.Google Scholar
Croes, K., lofrano, M., Wilson, C. J., et al., Study study of void formation kinetics in Cu interconnects using local sense structures, Proceedings of the 2011 IEEE International Reliability Physics Symposium (IRPS) (Monterey: IEEE, 2011), 3E5.1–3E5.7.Google Scholar
Bana, F., Arnaud, L., Ney, D., Galand, R., and Wouters, Y., Effects of current density on electromigration resistance trace analysis, Final Report of the 2011 IEEE International Integrated Reliability Workshop (South Lake Tahoe: IEEE, 2011), 5962.Google Scholar
Chatterjee, S., Sukharev, V., and Najm, F. N., Fast physics-based electromigration checking for on-die power grids, Proceedings of the 35th International Conference on Computer-Aided Design (ICCAD) (Austin: IEEE/ACM, 2016), 110.1–110.8.Google Scholar
Huang, X., Kteyan, A., Tan, S. X.-D., and Sukharev, V., Physics-based electromigration models and full-chip assessment for power grid networks, IEEE Transactions on Computer-Aided Design on Integrated Circuits and Systems 35 (2016), 18481861.CrossRefGoogle Scholar
Chatterjee, S., Sukharev, V., and Najm, F. N., Power grid electromigration checking using physics-based models, IEEE Transactions on Computer-Aided Design Integrated Circuits and Systems 37 (2018), 13171330.Google Scholar
Korhonen, M. A., Borgesen, P., Tu, K. N., and Li, C.-Y., Stress evolution due to electromigration in confined metal lines, Journal of Applied Physics 73 (1993), 37903799.Google Scholar
Alam, S. A., Gan, C. L., Thompson, C. V., and Troxel, D. E., Reliability computer-aided design tool for full-chip electromigration analysis and comparison with different interconnect metallization, Microelectronics Journal 38 (2007), 463473.Google Scholar
Li, D.-A., Marek-Sadowska, M., and Nassif, S., A method for improving power grid resilience to electromigration-caused via failures, IEEE Transactions on Very Large Scale Integrated (VLSI) Systems 23 (2015), 118130.Google Scholar
Chew, M., Aslyan, A., Choy, J.-H., and Huang, X., Accurate full-chip estimation of power map, current densities and temperature for EM assessment, Proceedings of the 33th International Conference on Computer-Aided Design (ICCAD) (San Jose: IEEE/ACM, 2014), 440445.Google Scholar
Huang, X., Sukharev, V., Choy, J-H, Chew, M., Kim, T., and Tan, S. X.-D., Electromigration assessment for power grid networks considering temperature and thermal stress effects, Integration, the VLSI Journal 55 (2016), 307315.Google Scholar
Li, D. A., Marek-Sadowska, M., and Nassif, S. R., T-VEMA: a temperature- and variation-aware electromigration power grid analysis tool, IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (2015), 23272331.Google Scholar
Sukharev, V., Huang, X., and Tan, S. X.-D., Electromigration induced stress evolution under alternate current and pulse current loads, Journal of Applied Physics 118 (2015), 034504.1–034504.10.Google Scholar
Maiz, J. A., Characterization of electromigration under bidirectional (BC) and pulsed unidirectional (PDC) currents, Proceedings of the 1989 IEEE International Reliability Physics Symposium (IRPS) (San Diego: IEEE, 1989), 220228.Google Scholar
Liew, K., Cheung, N. W., and Hu, C., Projecting interconnect electromigration lifetime for arbitrary current waveforms, IEEE Transactions on Electron Devices 37 (1990), 13431351.Google Scholar
Hatanaka, K., Noguchi, T., and Maeguchi, K., A generalized lifetime model for electromigration under pulsed DC/AC stress conditions, Digest of Technical Papers of the 1989 Symposium on VLSI Technology (Tokyo: 1989), 1920.Google Scholar
Chenming, H., Reliability phenomena under AC stress, Microelectronics Reliability 38 (1998), 15.Google Scholar
Hunter, W. R., Self-consistent solutions for allowed interconnect current density. I. Implications for technology evolution, IEEE Transactions on Electron Devices 44 (1997), 304309.Google Scholar
Moning, R., Keller, R. R., and Volkert, C. A., Thermal fatigue testing of thin metal films, Review of Scientific Instruments 75 (2004), 49975004.Google Scholar
Gradshteyn, S. and Ryzhik, I. M., Table of Integrals, Series, and Products, 8th ed. (Waltham: Academic Press, 2014).Google Scholar
COMSOL, Inc., Burlington, MA. 8 New England Executive Park.Google Scholar
Jain, P., Sapatnekar, S. S., and Cortadella, J., A retargetable and accurate methodology for logic-IP-internal electromigration assessment, Proceedings of the Annual Asian-Pacific Design Automation Conference (ASP-DAC) (Chiba: ACM, 2015), 346351.Google Scholar
Ting, L. M., May, J. S., Hunter, W. R., and McPherson, J. W., AC electro- migration characterization and modeling of multilayered interconnects, Proceedings of the 1993 IEEE International Reliability Physics Symposium (IRPS) (Atlanta: IEEE, 1993), 311316.Google Scholar
Suo, Z., Reliability of interconnect structures, Volume 8: Interfacial and Nanoscale Failure, Comprehensive Structural Integrity, eds. Gerberich, W. and Yang, W. (Amsterdam: Elsevier, 2003), 265324.Google Scholar
Nassif, S. R., Power grid analysis benchmarks, Proceedings of the Annual Asian-Pacific Design Automation Conference (ASP-DAC) (Seoul: ACM, 2008), 376381.Google Scholar
Chatterjee, S., Sukharev, V., and Najm, F. N., Fast physics-based electromigration assessment by efficient solution of linear time-invariant (LTI) systems, Proceedings of the 36th International Conference on Computer-Aided Design (ICCAD) (Irvine: IEEE/ACM, 2017), 659666.Google Scholar
Huang, W., Stan, M. R., and Skadron, K., Parameterized physical compact thermal modeling, IEEE Transactions on Components and Packaging Technologies 28 (2005), 615622.Google Scholar
Christensen, R. M., Mechanics of Composite Materials (Mineola: Dover Publications, 2005).Google Scholar
Hau-Riegea, S. P. and Thompson, C. V., The effects of the mechanical properties of the confinement material on electromigration in metallic interconnects, Journal of Materials Research 15 (2000), 17971802.Google Scholar
Sukharev, V., Choy, J.-H., Kteyan, A., et al., Carrier mobility shift in advanced silicon nodes due to chip-package interaction, Journal of Electronic Packaging 139 (2017), 020906-1-12.Google Scholar
Karmarkar, P., Xu, X., and El-Sayed, K., temperature and process dependent material characterization and multiscale stress evolution analysis for performance and reliability management under chip package interaction, International Symposium on Microelectronics, IMAPS 2017 (Raleigh: ASME, 2017), 000013000024.Google Scholar
He, J., Suo, Z., Marieb, T. N., and Maiz, J. A., Electromigration lifetime and critical void volume, Applied Physics Letters 85 (2004), 46394641.Google Scholar
Sukharev, V., Kteyan, A., and Huang, X., Postvoiding stress evolution in confined metal lines, IEEE Transactions on Device and Materials Reliability 16 (2016), 5060.CrossRefGoogle Scholar
Torosyan, S., Kteyan, A., Sukharev, V., Choy, J.-H., and Najm, F. N., Novel physics-based tool-prototype for electromigration assessment in commercial-grade power delivery networks, Journal of Vacuum Science and Technology. B 39 (2021), 013203-1-6.Google Scholar
Sukharev, V., Stress modeling for copper interconnect structures. Materials for Information Technology, ed. Zschech, E., Whelan, C., and Mikolajick, T. (London: Springer, 2005), 251263.Google Scholar
Najm, F. N. and Sukharev, V., Efficient simulation of electromigration damage in large chip power grids using accurate physical models, Proceedings of the 2019 IEEE International Reliability Physics Symposium (IRPS) (Monterey: IEEE, 2019), 2A-MB.1-10.Google Scholar
Budiman, A. S., Hau-Riege, C. S., Baek, W. C., et al., Electromigration-induced plastic deformation in Cu interconnects: effects on current density exponent, n, and implications for EM reliability assessment, Journal of Electronic Materials 39 (2010), 24832488.Google Scholar

Save book to Kindle

To save this book to your Kindle, first ensure coreplatform@cambridge.org is added to your Approved Personal Document E-mail List under your Personal Document Settings on the Manage Your Content and Devices page of your Amazon account. Then enter the ‘name’ part of your Kindle email address below. Find out more about saving to your Kindle.

Note you can select to save to either the @free.kindle.com or @kindle.com variations. ‘@free.kindle.com’ emails are free but can only be saved to your device when it is connected to wi-fi. ‘@kindle.com’ emails can be delivered even when you are not connected to wi-fi, but note that service fees apply.

Find out more about the Kindle Personal Document Service.

Available formats
×

Save book to Dropbox

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Dropbox.

Available formats
×

Save book to Google Drive

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Google Drive.

Available formats
×