Hostname: page-component-8448b6f56d-xtgtn Total loading time: 0 Render date: 2024-04-23T19:46:08.141Z Has data issue: false hasContentIssue false

Effects of microstructure on the formation, shape, and motion of voids during electromigration in passivated copper interconnects

Published online by Cambridge University Press:  31 January 2011

Z-S. Choi
Affiliation:
Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139
R. Mönig
Affiliation:
Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139
C.V. Thompson
Affiliation:
Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139
Get access

Abstract

In situ scanning electron microscope observations have been performed on passivated damascene Cu interconnect segments of different widths during accelerated electromigration tests. In some cases, voids form and grow at the cathode. However, an alternative failure mode is also observed, during which voids form distant from the cathode end of the interconnect segment and drift toward the cathode, where they eventually lead to failure. The number of observations of this failure mode increased with increasing linewidth. During void motion, the shape and the velocity of the drifting voids varied significantly. Postmortem electron backscattered diffraction (EBSD) analysis was performed after in situ testing, and a correlation of EBSD data with the in situ observations reveals that locations at which voids form, their shape evolution, and their motion all strongly depend on the locations of grain boundaries and the crystallographic orientations of neighboring grains.

Type
Articles
Copyright
Copyright © Materials Research Society 2007

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1Korhonen, M.A., Borgesen, P., Tu, K.N.Li, C.Y.: Stress evolution due to electromigration in confined metal lines. J. Appl. Phys. 73, 3790 1993CrossRefGoogle Scholar
2Thomas, R.W.Calabresse, D.W.: Phenomenological observations on electromigration in Proceedings of the 21st International Reliability Physics Symposium IEEE New York 1983 1Google Scholar
3Levine, E.N.Kitcher, J.: Electromigration induced damage and structure change in Cr-Al/Cu and Al/Cu interconnection lines in Proceedings of the 22nd International Reliability Physics Symposium, IEEE, New York 1984 242CrossRefGoogle Scholar
4Besser, P.R., Madden, M.C.Flinn, P.A.: In situ scanning electron microscopy observation of the dynamic behavior of electromigration voids in passivated aluminum lines. J. Appl. Phys. 72, 3792 1992CrossRefGoogle Scholar
5Arzt, E., Kraft, O., Nix, W.D., Sanchez, J.E. Jr.: Electromigration failure by shape change of voids in bamboo lines. J. Appl. Phys. 76, 1563 1994CrossRefGoogle Scholar
6Hu, C.K., Lee, K.Y., Gignac, L.Carruthers, R.: Electromigration in 0.25 μm wide Cu line on W. Thin Solid Films 308–309, 443 1997CrossRefGoogle Scholar
7L. Arnaud, G. Tartavel, T. Berger, D. Mariolle, Y. Gobil, and I. Touet, Microstructure and electromigration in copper damascene lines, inIEEE 39th Annual International Reliability Physics Symposium IEEE New York 1999 263Google Scholar
8Lane, M.W., Liniger, E.G.Lloyd, J.R.: Relationship between interfacial adhesion and electromigration in Cu metallization. J. Appl. Phys. 93, 1417 2003CrossRefGoogle Scholar
9Lee, K., Ogawa, E.T., Matsuhashi, H., Justison, P.R., Ko, K., Ho, P.S.Blaschke, V.A.: Electromigration critical length effect in Cu/oxide dual-damascene interconnects. Appl. Phys. Lett. 79, 3236 2001CrossRefGoogle Scholar
10Meyer, M.A., Herrmann, M., Langer, E.Zschece, E.: In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures. Microelectron. Eng. 64, 375 2002CrossRefGoogle Scholar
11Zschech, E., Meyer, M.A.Langer, E.: Effect of mass transport along interfaces and grain boundaries on copper interconnect degradation in Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics—2004,edited by R.J. Carter, C.S. Hau-Riege, G.M. Kloster, T.-M. Lu, and S.E. Schulz (Mater. Res. Soc. Symp. Proc.812, Warrendale, PA, 2004 F7.5CrossRefGoogle Scholar
12Choi, Z., Mönig, R., Thompson, C.V.Burns, M.: Kinetics of void drift in copper interconnects in Materials, Technology and Reliability of Low-k Dielectrics and Copper Interconnects edited by T.Y. Tsui, Y-C. Joo, L. Michaelson, M. Lane, and A.A. Volinsky (Mater. Res. Soc. Symp. Proc. 914, Warrendale, PA, 2006 0914-F08-03, p. 363Google Scholar
14Hu, C.K., Luther, B., Kaufman, F.B., Hummel, J., Uzoh, C.Pearson, D.J.: Copper interconnection integration and reliability. Thin Solid Films 262, 84 1995CrossRefGoogle Scholar
15Hau-Riege, S.P.: Probabilistic immortality of Cu damascene interconnects. J. Appl. Phys. 91, 2014 2002CrossRefGoogle Scholar
16Hau-Riege, C.S., Marathe, A.P.Pham, V.: The effect of line length on the electromigration reliability of Cu interconnects in Advanced Metallization Conference U.C. Berkeley Extension San Diego, CA 2002 169Google Scholar
17Ogawa, E.T., Lee, K., Blaschke, V.A.Ho, P.S.: Electromigration reliability issues in dual-damascene Cu interconnections. IEEE Trans. Rel. 51, 403 2002CrossRefGoogle Scholar
18Hauschildt, M., Gall, M., Thrasher, S., Justison, P., Michaelson, L., Hernandez, R.Kawasaki, H.: Analysis of electromigration statistics for Cu interconnects. Appl. Phys. Lett. 88, 211907 2006CrossRefGoogle Scholar
19Karimi, M., Tomkowski, T., Vidali, G.Biham, O.: Diffusion of Cu on Cu surfaces. Phys. Rev. B: Condens. Matter 52, 5364 1995CrossRefGoogle ScholarPubMed
20Børgesen, P., Korhonen, M.A., Brown, D.D.Li, C.Y.: Stress induced voiding and electromigration. AIP Conf. Proc. 263, 219 1992CrossRefGoogle Scholar
21Zaporozhets, T.V., Gusak, A.M., Tu, K.N.Mhaisalkar, S.G.: Three-dimensional simulation of void migration at the interface between thin metallic film and dielectric under electromigration. J. Appl. Phys. 98, 103508 2005CrossRefGoogle Scholar
22Hu, C.K.Reynolds, S.: CVD Cu interconnections and electromigration. Electrochem. Soc. Symp. Proc. 97-25, 1514 1997Google Scholar
23Korhonen, M.A., Borgesen, P., Brown, D.D.Li, C.Y.: Microstructure based statistical model of electromigration damage in confined line metallizations in the presence of thermally induced stresses. J. Appl. Phys. 74, 4995 1993CrossRefGoogle Scholar
24Gleixner, R.J., Clemens, B.M.Nix, W.D.: Void nucleation in passivated interconnect lines: Effects of site geometries, interfaces, and interface flaws. J. Mater. Res. 12, 2081 1997CrossRefGoogle Scholar
25Choi, Z-S., Mönig, R.Thompson, C.V.: Dependence of the electromigration flux on the crystallographic orientations of different grains in polycrystalline copper interconnects. Appl. Phys. Lett. 90, 241913 2007CrossRefGoogle Scholar
26Choi, Z-S.Thompson, C.V. unpublished researchGoogle Scholar
27Chang, C.W., Thompson, C.V., Gan, C.L., Pey, K.L., Choi, W.K.Lim, Y.K.: Effects of microvoids on the linewidth dependence of electromigration failure of dual-damascene copper interconnects. Appl. Phys. Lett. 90, 193505 2007CrossRefGoogle Scholar
28Lloyd, J.R.: Electromigration failure. J. Appl. Phys. 69, 7601 1991CrossRefGoogle Scholar
29Kircheim, R.Kaeber, U.: Atomistic and computer modeling of metallization failure of integrated circuits by electromigration. J. Appl. Phys. 70, 172 1991CrossRefGoogle Scholar