Hostname: page-component-76fb5796d-22dnz Total loading time: 0 Render date: 2024-04-26T17:24:39.944Z Has data issue: false hasContentIssue false

Delamination-induced dielectric breakdown in Cu/low-k interconnects

Published online by Cambridge University Press:  31 January 2011

T.L. Tan
Affiliation:
School of Materials Science and Engineering, Nanyang Technological University, Singapore 639798
C.L. Gan*
Affiliation:
School of Materials Science and Engineering, Nanyang Technological University, Singapore 639798
A.Y. Du
Affiliation:
Chartered Semiconductor Manufacturing Ltd., Singapore 738406
Y.C. Tan
Affiliation:
Chartered Semiconductor Manufacturing Ltd., Singapore 738406
C.M. Ng
Affiliation:
Chartered Semiconductor Manufacturing Ltd., Singapore 738406
*
a)Address all correspondence to this author. e-mail: clgan@ntu.edu.sg
Get access

Abstract

Delamination at an interface with the weakest adhesion strength, which is found to be between the SiC(N) capping layer and the SiOCH low-k dielectric, is a potential failure mechanism contributing to time-dependent dielectric breakdown (TDDB) reliability. Bond breaking at that interface is believed to be driven by a field-enhanced thermal process and catalyzed by leakage current through the capping layer based on physical analyses and TDDB measurements. Delamination is found to be easier in terminated tips and corners than in parallel comb lines due to the layout orientation of the Cu lines. Moreover, TDDB activation energy Ea can be an indicator of the ease of delamination, whereby a lower Ea corresponds to an easier delamination.

Type
Articles
Copyright
Copyright © Materials Research Society 2008

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1McPherson, J.W.Mogul, H.C.: Underlying physics of the thermochemical E model in describing low-field time-dependent dielectric breakdown in SiO2 thin films. J. Appl. Phys. 84(3), 1513 1998CrossRefGoogle Scholar
2Chen, F., Bravo, O., Chanda, K., McLaughlin, P., Sullivan, T., Gill, J., Lloyd, J., Kontra, R.Aitken, J.: A comprehensive study of low-k SiCOH TDDB phenomena and its reliability lifetime model development in Proc. IEEE Int. Rel. Physics Symp. The Electron Device Society and the Reliability Society of the IEEE NJ 2006 46Google Scholar
3Lloyd, J.R., Liniger, E.Shaw, T.M.: Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics. J. Appl. Phys. 98, 084109 2005CrossRefGoogle Scholar
4Tsu, R., McPherson, J.W.McKee, W.R.: Leakage and breakdown reliability issues associated with low-k dielectrics in a Cu dual-damascene process in Proc. IEEE Int. Rel. Physics Symp. The Electron Device Society and the Reliability Society of the IEEE NJ 2000 348Google Scholar
5Hong, C., Milor, L.Lin, M.Z.: Analysis of the layout impact on electric fields in interconnect structures using finite element method. Microelectron. Reliab. 44, 1867 2004Google Scholar
6Yiang, K.Y., Mok, T.S., Yoo, W.J.Krishnamoorthy, A.: Reliability improvement using buried capping layer in advanced interconnects in Proc. IEEE Int. Rel. Physics Symp. The Electron Device Society and the Reliability Society of the IEEE NJ 2004 333Google Scholar
7Sze, S.M.: Physics of Semiconductor Devices 2nd ed.John Wiley & Sons New York 1981Google Scholar
8Yeargan, J.R.Taylor, H.L.: The Poole–Frenkel effect with compensation present. J. Appl. Phys. 39, 5600 1968CrossRefGoogle Scholar
9Hwang, N., Tan, T.L., Cheng, C.K., Du, A.Y., Gan, C.L.Pey, K.L.: Investigation of intrinsic dielectric breakdown mechanism in Cu/low-k interconnect system. IEEE Electron Dev. Lett. 27, 234 2006CrossRefGoogle Scholar
10Hutchinson, J.W.Suo, Z.: Mixed mode cracking in layered materials. Adv. Appl. Mech. 29, 63 1992CrossRefGoogle Scholar
11Ege, E.S.Shen, Y-L.: Thermomechanical response and stress analysis of copper interconnects. J. Electron. Mater. 32, 1000 2003CrossRefGoogle Scholar
12McPherson, J.W.: Determination of the nature of molecular bonding in silica from time-dependent dielectric breakdown data. J. Appl. Phys. 95, 8101 2004CrossRefGoogle Scholar
13Ogawa, E.T., Kim, J., Haase, G.S., Mogul, H.C.McPherson, J.W.: Leakage, breakdown and TDDB characteristics of porous low-k silica-based interconnect dielectrics in Proc. IEEE Int. Rel. Physics Symp. The Electron Device Society and the Reliability Society of the IEEE NJ 2003 166Google Scholar
14Litteken, C., Dauskardt, R., Scherban, T., Xu, G., Leu, J., Gracias, D.Sun, B.: Interfacial adhesion of thin-film patterned interconnect structures in Proc. IEEE Int. Interconnect Technology Conference The Electron Device Society and the Reliability Society of the IEEE NJ 2003 168Google Scholar