Hostname: page-component-76fb5796d-25wd4 Total loading time: 0 Render date: 2024-04-27T01:28:31.764Z Has data issue: false hasContentIssue false

The Road To Flexible Mems Integration

Published online by Cambridge University Press:  01 February 2011

Ann Witvrouw*
Affiliation:
ann.witvrouw@imec.be, IMEC, PT/IPSI, Kapeldreef 75, Leuven, 3001, Belgium
Get access

Abstract

This paper first discusses the reasons for choosing CMOS-MEMS integration, in particular integration by poly-SiGe processing above CMOS. Next the current state-of-the-art for poly-SiGe MEMS integration and the needs for the future will be addressed. Market trends are translated into two roadmaps for MEMS integration. The first roadmap is based on existing poly-SiGe deposition processes at 400 − 450 ºC. The second roadmap explores processing techniques to lower the thermal budget and widen the application field of MEMS integration by using processing techniques such as metal-induced crystallization, laser annealing or self-assembly.

Type
Research Article
Copyright
Copyright © Materials Research Society 2008

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

[1] Witvrouw, A. Steenkiste, F. Van, Maes, D. Haspeslagh, L. Gerwen, P. Van, Moor, P. De, Sedky, S. Hoof, C. Van, Vries, A. C. de, Verbist, A. Caussemaeker, A. De, B, Parmentier and Baert, K., ‘Why CMOS-integrated transducers? A review’, Microsystem Technologies, Vol. 6 (5), p 192199 (2000).Google Scholar
[2] Witvrouw, A. Gromova, M. Mehta, A. Sedky, S. Moor, P. De, Baert, K. Hoof, C. Van, ‘Poly-SiGe, a superb material for MEMS’, MRS Proc. 782, pp. 2536 (2004).Google Scholar
[3] Hoof, C. Van, Baert, K., Witvrouw, A., ‘The best materials for Tiny, Clever Sensors’, Science, November 2004, Vol 304, pp 986987 (2004).Google Scholar
[4] Howe, R.T. and King, T.J.Low-temperature LPCVD MEMS Technologies’, MRS Proc 729, pp. 205213 (2002).Google Scholar
[5] Fedder, G.K. Howe, R.T. Liu, T.-J. King and Quevy, E.Technologies for Cofabricating MEMS and electronicsProceedings of IEEE Vol. 96 (2), pp 306322 (2008).Google Scholar
[6] Smith, J. et al., “Embedded micromechanical devices for the monolithic integration of MEMS with CMOS”, Proc. IEDM, pp. 609612 (1995).Google Scholar
[7] Lewis, S., Alie, S., Brosnihan, T., Core, C. Core, T. Howe, R., Geen, J., Hollocher, D., Judy, M. Memishian, J., Nunan, K. Paine, R., Sherman, S. Tsang, B. B, WachtmannIntegrated Sensor and Electronics Processing for >10^8 iMEMS Inertial measurement Units Components’, Proc. IEDM 1993, pp. 39.1.1-4 (1993)10^8+iMEMS+Inertial+measurement+Units+Components’,+Proc.+IEDM+1993,+pp.+39.1.1-4+(1993)>Google Scholar
[8] Sedky, S. Witvrouw, A. and Baert, K., ‘Poly SiGe, a promising material for MEMS monolithic integration with the driving electronics’, Sensors and Actuators A 97-98, p 503511 (2002).Google Scholar
[9] Franke, A. E., Heck, J. M. King, T.-J., Howe, R. T. J. Microelectromechanical Systems, 12, 160, (2003).Google Scholar
[10] Fedder, G.K., Santhanam, S. Reed, M.L., Eagle, S.C. Guillou, D.F., Lu, M.S.-C. and Carley, L.R.Laminated high-aspect-ratio microstructures in a conventional CMOS process’, Sensors Acuators A, Vol. 57 (2), pp. 103110 (1996).Google Scholar
[11] Borky, J.M. and Wise, K.D.Integrated signal conditioning for silicon pressure sensors’, IEEE Trans. Electron Devices, Vol. ED-26, pp. 19061910 (1979).Google Scholar
[12] Sedky, S. Witvrouw, A. Bender, H. and Baert, K.Experimental Determination of the Maximum Post-process Annealing Temperature for Standard CMOS wafers: IEEE Trans. Electron Devices 48 (2), pp. 377385 (2001).Google Scholar
[13] Takeuchi, H. Wung, A. Sun, X. Howe, R.T., and King, T.-J., ‘Thermal Budget Limits of Quarter-Micrometer Foundry CMOS for Post-Processing MEMS Devices,’, IEEE Trans El. Dev., Vol 52 (9), 20812086 (2005).Google Scholar
[14] Hornbeck, L.J. Proc. SPIE 3013, p. 27 (1997).Google Scholar
[15] Voelker, B. Friederichs, M, Rudloff, D. Bakke, T.Drift free, Highly Planar Silicon Micromirror Arrays’, Proc. MME 2005, p223226 (2005).Google Scholar
[16] Franke, A.E. Bilic, D. Chang, D. T. Jones, P. T. King, T.-J., Howe, R. T. and Johnson, G. C. ‘Post-CMOS integration of germanium microstructures’, Proc. IEEE MEMS 99, p. 630.637 (1999).Google Scholar
[17] Mehta, A. Gromova, M. Rusu, C. Baert, K. Hoof, C. Van and Witvrouw, A. ‘Novel High Growth Rate Processes for Depositing Poly-SiGe Structural Layers at CMOS Compatible Temperatures’, Proc. IEEE MEMS 04, pp. 721724 (2004).Google Scholar
[18] King, T. J. et al., ‘Recent Progress in Modularly Integrated MEMS Technologies’, Proc. IEDM, pp. 199202 (2002).Google Scholar
[19] Gromova, M., Baert, K., Hoof, C. Van, ‘The Novel Use of Low Temperature Hydrogenated Microcrystalline Silicon Germanium (μcSiGe:H) for MEMS applicationsMicroel. Eng., 76, pp. 266271 (2004).Google Scholar
[20] Sedky, S. Gromova, M. Donck, T. van der, Celis, J.P. and Witvrouw, Ann, ‘Characterisation of KrF Excimer Laser Annealed PECVD SixGe1-x for MEMS Post-processing’, Sensors and Actuators A, Vol 127 (2), 316323 (2006)Google Scholar
[21] Rusu, C. Sedky, S. Parmentier, B. Verbist, A. Richard, O. Brijs, B. Geenen, L., Witvrouw, A. Lärmer, F., Fischer, F., Kronmüller, S., Leca, V. and Otter, B.New Low-Stress PECVD Poly-SiGe Layers For MEMS’, J. MEMS, Vol. 12 (6), p 816825 (2003).Google Scholar
[22] Franke, A.E. Jiao, Y. Wu, M. T. King, T.-J., and Howe, R. T.Post-CMOS integration of Poly-SiGe microstructures using Poly-SiGe sacrificial layers’, Solid State Sensor and Actuator Workshop, June 4-8, 2000, pp. 1821 (2000).Google Scholar
[23] Quevy, E. P. Paulo, A. San, Basol, E. Howe, R.T. King, T.-J., and Bokor, J.Back-end-of-line poly-SiGe disk resonatorsProc. IEEE MEMS 2006, pp. 234237 (2006).Google Scholar
[24] Claes, G. Barel, G. Van, Hoof, R. Van, Bois, B. Du, Gromova, M. Verbist, A. Donck, T. Van der, Decoutere, S., Celis, J.-P., and Witvrouw, A., ‘Stacked Boron Doped Poly-Crystalline Silicon-Germanium Layers: an Excellent MEMS Structural Material’, Proc. MRS Spring meeting 2008, this volume.Google Scholar
[25] Mehta, A. Gromova, M. Czarnecki, P. Baert, K. Witvrouw, A.Optimisation of PECVD Poly-SiGe Layers for MEMS Post-processing on Top of CMOS”, Proceedings 13th International Conference on Solid State Sensors, Actuators and Microsystems, Vol.2, pp. 13261329, 2005 Google Scholar
[26] Witvrouw, A. Mehta, A. Verbist, A. Bois, B. Du, Aerde, S. Van, Ramos-Martos, J., Ceballos, J., Ragel, A., Mora, J. M. Lagos, M.A. Arias, A. Hinojosa, J. M. Spengler, J. Leinenbach, C. Fuchs, T. Kronmuller, S., ‘Above CMOS processing of MEMS’, Proc. ISSCC 2005, p 8889 (2005).Google Scholar
[27] Gromova, M., Haspeslagh, L. Verbist, A., Bois, B. Du, Hoof, R. Van, Eyckens, B. Sijmus, B. Wolf, I. De, Simons, V. Muller, Ph., Lauwagie, T. Willegems, M. Locorotondo, S. Boullart, W. Baert, K. and Witvrouw, A.Highly Reliable and Extremely Stable SiGe Micro-mirrors’, Proc. IEEE MEMS 2007, 759762 (2007).Google Scholar
[28] http://www.analog.comGoogle Scholar
[29] Schreurle, A. Fuchs, T. Kehr, K. Leinenbach, C. Kronmuller, S. Arias, A. Ceballos, J. Lagos, M. A. Mora, J. M. Munoz, J. M. Ragel, A. Ramos, J. Aerde, S. Van, Spengler, J. Mehta, A. Verbist, A. Bois, B. Du and Witvrouw, A.A 10 μm thick poly-SiGe gyroscope processed above 0.35 μm CMOS’, Proc. IEEE MEMS 2007, 3942 (2007).Google Scholar
[30] Solid State Technology Online, September 12, 2007.Google Scholar
[31] MEMSentry, , The exclusive Yole Bulletin on MEMS, issue 2, Jan 06, p 2 (2006).Google Scholar
[32] http://www.siclocks.comGoogle Scholar
[33] Stahl, H., Hoechst, A. Fischer, F. Metzger, L. Reichenbach, R., Laermer, F. Kronmueller, S. Breitschwerdt, K., Gunn, R., Watcham, S., Rusu, C., and Witvrouw, A.Thin Film Encapsulation of Acceleration Sensors Using Polysilicon Sacrificial LayersProc. Transducers 2003, p 1899 (2003).Google Scholar
[34] Hoechst, A., Scheuerer, R., Stahl, H., Fischer, F., Metzger, L., Reichenbach, R., Laermer, F., Kronmueller, S., Breitschwerdt, K. Gunn, R. Watcham, S. Rusu, C. and Witvrouw, A.Stable Thin Film Encapsulation of Acceleration Sensors Using Polycrystalline Silicon as Sacrificial and Encapsulation LayerSensors and Actuators, Vol 114/2-3 pp 355361 (2004).Google Scholar
[35] Rusu, C. Jansen, H. Gunn, R. Witvrouw, A.Self-aligned 0-level sealing of MEMS devices by a two layer thin film reflow process’, Microsystem Technologies 10, pp 364371 (2004).Google Scholar
[36] IWT-SBO project “GEMINI” (“Generic Electronics and Microsystems INtegration Initiative”), IWT-nr 60046, 2007-2011Google Scholar
[37] Muramatsu, S. et al, “Thin-film c-Si solar cells prepared by metal-induced crystallization”, Solar Energy Materials and Solar Cells 74 (1-4), pp. 275281 (2002).Google Scholar
[38] Sedky, S. Baert, Kris, Hoof, Chris Van, Wang, Yi, Biest, Omer Van Der and Witvrouw, Ann, ‘Low tensile stress SiGe deposited at 370 C for monolithically integrated MEMS applications’, Proc. MRS Spring Conf. 2004, Vol. 808 (2004).Google Scholar
[39] Sedky, S. and Witvrouw, A.Micromachining of pulsed laser annealed PECVD SixGe1-x deposited at temperatures ≤370 C’, Proc. MEMS 2005, pp 487490 (2005).Google Scholar
[40] Sedky, Sherif, Bayoumy, Ahmed, Alaa, Ahmed, Nagy, Ahmed, and Witvrouw, Ann, ‘Optimal Conditions for Micromachining Si1-xGex at 210 C’, JMEMS, Vol 16, No 3, June 2007, 581588.Google Scholar
[41] Srinivasan, U. Liepmann, D. and Howe, R.T., ‘Microstructure to Substrate Self-assembly Using Capillary Forces’, JMEMS, Vol. 10 (1), pp. 1724 (2001)Google Scholar
[42] Ye, H. Gu, Z., Yu, T. and Gracias, D.H., ‘Integrating Nanowires With Substrates Using Directed Assembly and Nanoscale Soldering’, IEEE Trans. Nanotech., Vol 5 (1), pp. 6266 (2006).Google Scholar
[43] Scott, K. L., Hirano, T., Yang, H., Singh, H., Howe, R.T. and Niknejad, A.M., ‘High-Performance Inductors Using Capillary Based Fluidic Self-Assembly’, JMEMS, Vol 13 (2), pp. 300309 (2004).Google Scholar