Hostname: page-component-8448b6f56d-m8qmq Total loading time: 0 Render date: 2024-04-23T06:54:12.964Z Has data issue: false hasContentIssue false

Interconnection Limits on XXI Century Gigascale Integration (GSI)

Published online by Cambridge University Press:  10 February 2011

James D. Meindl*
Affiliation:
Microelectronics Research Center, Georgia Institute of Technology 791 Atlantic Drive, N.W. Atlanta, GA 30332–0269
Get access

Extract

Throughout the past four decades, both the productivity and performance of microelectronics technology have advanced at exponential rates. In reality, exponential advances do not continue endlessly. Consequently, the purpose of this discussion is a systematic assessment of early XXI century opportunities for multi-billion transistor chips or gigascale integration (GSI).

Type
Research Article
Copyright
Copyright © Materials Research Society 1998

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

[1] Meindl, J.D., “Low Power Microelectronics: Retrospect and Prospect,” Proceeds of the IEEE, Vol.83, No. 4, pp. 619635, April, 1995.Google Scholar
[2] Sakurai, T., “Approximation of Wiring Delay in MOSFET LSI,” IEEE Trans. Electron Devices, vol. 40, No. 1, pp. 118124, Jan. 1993.10.1109/16.249433Google Scholar
[3] Private communication, Davis, J., Georgia Institute of Technology, 1998 Google Scholar
[4] Bakoglu, H. B. and Meindl, J.D., “Optimal interconnection circuits for VLSI,” IEEE Trans. Electron Devices, vol. ED–37, pp903909, May 1985.Google Scholar
[5] Davis, J. A., De, V. K., Meindl, J. D., “A Stochastic Wire-length Distribution for Gigascale Integration (GSI) - Part I: Derivation and Validation,” IEEE Transactions of Electron Devices, vol. 45, no. 3, pp. 580–9, March 1998.10.1109/16.661219Google Scholar