Hostname: page-component-848d4c4894-ttngx Total loading time: 0 Render date: 2024-05-09T19:31:35.739Z Has data issue: false hasContentIssue false

Effect of Particle Interaction on Agglomeration of Silica-Based CMP Slurries

Published online by Cambridge University Press:  15 March 2011

A. Sorooshian
Affiliation:
FMO technical intern; currently, a PhD student at California Institute of Technology
R. Ashwani
Affiliation:
Intel Corporation, FMO-IMED
H.K. Choi
Affiliation:
Intel Corporation, FMO-IMED
M. Moinpour
Affiliation:
Intel Corporation, FMO-IMED
A. Oehler
Affiliation:
Intel Corporation, FMO-IMED
A. Tregub
Affiliation:
corresponding author
Get access

Abstract

Chemical Mechanical Planarization has become a method of choice for planarization of metal and oxide layers in microelectronics industry. A CMP process includes up to 16 variables that need to be controlled to achieve a stable CMP process [1]. One of the major variables in CMP is related to slurry compositions. In particularly, a uniform distribution of the sizes of the abrasive particle in slurry is crucial for a stable CMP performance. The agglomerates can be unstable, since their size depends on addition of chemical additives and shearing during the CMP process.

In this work, the authors studied agglomeration of the fumed and colloidal silica-based slurries using dynamic rheometry, zeta potential tests, and an accusizer.

Slurry viscosity, determined using a steady state rheometry, was correlated to the particle charge, characterized by zeta potential, and to the particle sizes obtained using the particle size analyzer. Additionally, rheometer was used for slurry shearing to study effect of shear on slurry characteristics. Particle agglomeration due to slurry shearing and storage was observed and corroborated using rheometry, zeta potential, and particle size measurements.

Type
Research Article
Copyright
Copyright © Materials Research Society 2004

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

1. Moinpour, M., Tregub, A., Oehler, A., Cadien, K.. Advances in Characterization of CMP consumables, MRS Bulletin, October 2002, v. 27, no. 10, pp. 766771 Google Scholar
2. Basim, G. Bahar, Moudgi, Brij M.. Effect of Soft Agglomerates on CMP Slurry Performance, Journal of Colloid and Interface Science, Volume 256, Issue 1, 2002, pp 137142.Google Scholar
3. Palla, B.J., Shah, D.O., Bielmann, M., Singh, R.K.,. Stabilization of alumina slurries in presence of oxidizers for tungsten chemical mechanical polishing, Twenty Third IEEE/CPMT International Electronics Manufacturing Technology Symposium. Proceedings 1998, IEMT Symposium. Austin, TX, USA, 19-21 Oct. 1998 Google Scholar
4. Gutowski, T.B. et al. Filtration of Alumina, Ceria, and Colloidal Silica Slurry to Remove Large Particle Counts. Maryland: Filterite Electronics.Google Scholar
5. Yoshida, A. The Colloid Chemistry of Silica, Adv. Chem. Ser., 234, 1994 Google Scholar
6. Spicer, Patrick T. and Sotiris, E., Pratsinis, Shear-induced flocculation: the evolution of floc structure and the shape of the size distribution at steady state”, Wat. Res., vol. 30, no. 5, pp. 10491056, 1996.Google Scholar