Hostname: page-component-76fb5796d-dfsvx Total loading time: 0 Render date: 2024-04-26T08:18:22.384Z Has data issue: false hasContentIssue false

Demonstration of the state-of-the-art of formation and characterization of ultra-shallow junctions

Published online by Cambridge University Press:  21 March 2011

P. Borden
Affiliation:
Boxer Cross Inc., 978 Hamilton Court Menlo Park, CA 94025, USA
A. Al-Bayati
Affiliation:
Applied Materials, 3050 Bowers Ave. Santa Clara, CA 95054, USA
J. Madsen
Affiliation:
Boxer Cross Inc., 978 Hamilton Court Menlo Park, CA 94025, USA
C. Lazik
Affiliation:
Applied Materials, 3050 Bowers Ave. Santa Clara, CA 95054, USA
P. Carey
Affiliation:
Applied Materials, 3050 Bowers Ave. Santa Clara, CA 95054, USA
L. Bechtler
Affiliation:
Boxer Cross Inc., 978 Hamilton Court Menlo Park, CA 94025, USA
A. Mayur
Affiliation:
Applied Materials, 3050 Bowers Ave. Santa Clara, CA 95054, USA
Get access

Abstract

Doping process windows are becoming very narrow as VLSI technology nodes scale to smaller and smaller dimensions. The time and cost required to develop new doping methods and the desire to re-use equipment will make it likely that current methods will be applied as long as possible. This means that existing process tools will have very tight stability and uniformity requirements, and metrology will be required to drive process control. The paper describes the state-of-the-art of both doping processes involving ion implantation and spike annealing, and new metrology based on Carrier IlluminationTM methods that will be required to implement in-line process control for these processes. CI offers depth resolution on the order of1Å, providing a level of control required to extend existing doping methods. The prospects of new methods such as Laser Thermal Annealing (LTA) are also discussed.

Type
Research Article
Copyright
Copyright © Materials Research Society 2001

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1. Al-Bayati, A., Foad, M., Mayur, A., Tandon, S., Wagner, D., Murto, R., Ferguson, C., Larson, L., Wang, T.S. and Cullis, A., “Exploring the Limits of Pre-Amorphization Implants on Controlling Channeling and Diffusion of Low Energy B Implants and Ultra Shallow Junction Formation,” XIII International Conference on Ion Implantation Technology IIT-2000, Alpbach Austria, Sept. 17-22, 2000.Google Scholar
2. Kluth, G. J., Bechtler, L., Borden, P., and Mi, J., “Non-destructive, in-line characterization of shallow junction processes,” Spring 2000 MRS meeting, April 24-28, 2000, San Francisco, CA.Google Scholar
3. Borden, P. in “Handbook of Semiconductor Metrology,” Diebold, A. (ed), pp. 97116, Marcel-Dekker, to be published 4/2001.Google Scholar
4. Borden, P., “Junction depth measurement using Carrier Illumination,” 2000 International Conference on Characterization and Metrology for ULSI, Gaithersburg MD, June 26-29, 2000.Google Scholar
5. Borden, P., Bechtler, L., Klemme, B., Nijmeijer, R., Judge, E., Diebold, A., Bennett, J., Vandervorst, W., Clarysse, T., Caymax, M. and Peytier, Y., “Progress towards an electrically active, USJ depth reference for carrier illumination, SRP and SIMS”, to be presented at the Ultra Shallow Junctions 2001 meeting, April 22-26, 2001, Napa, CA.Google Scholar
6. Sing, D., Borden, P., Bechtler, L., Murto, R., and Talwar, S.Boxer Cross Measurements of Laser Annealed Shallow Junctions,” XIII International Conference on Ion Implantation Technology IIT-2000, Alpbach Austria, Sept. 17-22, 2000.Google Scholar