Hostname: page-component-77c89778f8-sh8wx Total loading time: 0 Render date: 2024-07-18T08:30:58.079Z Has data issue: false hasContentIssue false

Material engineering for silicon tunnel field-effect transistors: isoelectronic trap technology

Published online by Cambridge University Press:  14 August 2017

Takahiro Mori*
Affiliation:
Nanoelectronics Research Institute (NeRI), National Institute of Advanced Industrial Science and Technology (AIST), Central 2, 1-1-1 Umezono, Tsukuba, Ibaraki 305-8568, Japan
Shota Iizuka
Affiliation:
Graduate School of Science, Chiba University, 1-33 Yayoi, Inage, Chiba 263-8522, Japan
Takashi Nakayama
Affiliation:
Graduate School of Science, Chiba University, 1-33 Yayoi, Inage, Chiba 263-8522, Japan
*
Address all correspondence to Takahiro Mori at mori-takahiro@aist.go.jp

Abstract

The tunnel field-effect transistor (TFET) is one of the candidates replacing conventional metal–oxide–semiconductor field-effect transistors to realize low-power-consumption large-scale integration (LSI). The most significant issue in the practical application of TFETs concerns their low tunneling current. Si is an indirect-gap material having a low band-to-band tunneling probability and is not favored for the channel. However, a new technology to enhance tunneling current in Si-TFETs utilizing the isoelectronic trap (IET) technology was recently proposed. IET technology provides a new approach to realize low-power-consumption LSIs with TFETs. The present paper reviews the state-of-the-art research and future prospects of Si-TFETs with IET technology.

Type
Prospective Articles
Creative Commons
Creative Common License - CCCreative Common License - BY
This is an Open Access article, distributed under the terms of the Creative Commons Attribution licence (http://creativecommons.org/licenses/by/4.0/), which permits unrestricted re-use, distribution, and reproduction in any medium, provided the original work is properly cited.
Copyright
Copyright © Materials Research Society 2017

Introduction

The performance improvement of large-scale integration (LSI) has progressed owing to the miniaturization of transistors. The degree of integration has increased following Moore's law, which predicted that the number of components in LSI would doubles every 2 years.[Reference Moore1, Reference Moore2] This prediction has surprisingly come true since—the semiconductor industry has made constant efforts to continue satisfying the law. The increase in the number of transistors has been directly linked to the growth of computing performance, and such continuous improvement of computing performance has been realized over the last 70 years.

Koomey et al. examined the strong correlation between computing performance and power efficiency of computation.[Reference Koomey, Berard, Sanchez and Wong3] Their work showed that the improvement of power efficiency is essential for the improvement of computing performance. From the perspective of electronic devices, the improvement of power consumption of transistors paved the way to increase the available number of transistors with a limited power supply, which resulted in the successful improvement of computing performance. In other words, low-power consumption is the essence of transistor miniaturization. This has enabled us to realize outstanding applications such as notebook personal computers, smartphones, tablets, and so on.

Dennard scaling guided the miniaturization of metal–oxide–semiconductor field-effect transistors (MOSFETs), which are the building blocks of contemporary LSIs.[Reference Dennard, Gaensslen, Rideout, Bassous and LeBlanc4] Dennard scaling provides guidelines to reduce the power consumption of MOSFETs with device-size miniaturization. However, Dennard scaling is not valid any longer for the state-of-the-art MOSFETs, because of short-channel effects.[Reference Taur and Ning5] However, the semiconductor industry has achieved both miniaturization and the reduction of power consumption after the era of Dennard scaling, in which the key technologies were finFETs,[Reference Hisamoto, Lee, Kedzierski, Takeuchi, Asano, Kuo, Anderson, King, Bokor and Fu6] SOI-FETs,[Reference Kuhn7] and high-k technology[Reference Robertson8] to enhance electrostatic gate control. In the current situation, the scaling law seems to have been prolonged, but some new technologies have realized miniaturization and low-power consumption together.

Now, we are at the last stage of miniaturization. However, the enhancement of computing performance is still a demand because of new applications such as machine learning and artificial intelligence. In this situation, the reduction of power consumption must be continued even if miniaturization has been completed.

An approach to reduce the power consumption without miniaturization is the use of steep slope devices (SSDs) as new building blocks for LSIs instead of MOSFETs. SSDs can realize much steeper switching from the OFF to ON state, resulting in decreasing voltage for switching. MOSFETs have a physical limit that the subthreshold swing (SS) cannot be < 60 mV/decade at 300 K.[Reference Sze9] SSDs can operate with an SS < 60 mV/decade; thus, they can operate at a lower voltage compared with the operating voltage of MOSFETs, resulting in low-power consumption. Some existing candidates for SSDs are tunnel field-effect transistors (TFETs),[Reference Seabaugh and Zhang10] negative capacitance FETs,[Reference Salahuddin and Datta11] and threshold switches.[Reference Shukla, Grisafe, Ghosh, Jao, Aziz, Frougier, Jerry, Sonde, Rouvimov, Orlova, Gupta and Datta12]

This paper concerns TFETs, which are a type of gated p–i–n diodes and have MOSFET-like structures in which the source and drain show different types of conduction. In TFETs, the tunnel barrier is a pn junction at the source side edge of the gate. The gate electrostatic control regulates tunneling current flowing through the barrier by changing the thickness of the pn junction, which enables TFETs to realize steeper switching compared with that of MOSFETs. Stuetzer's and Shockley's experiments have formed the foundation of TFET-like devices.[Reference Stuetzer13, Reference Schockley and Hopper14] Modern TFETs having the MOS structure were proposed by Quinn et al.[Reference Quinn, Kawamoto and McCombe15] and independently demonstrated by Baba.[Reference Baba16] Appenzeller et al. first demonstrated sub-60 mV/decade operation using a carbon-nanotube TFET.[Reference Appenzeller, Lin, Knoch and Avouris17] Choi et al. first demonstrated sub-60 mV/decade operation in Si-TFETs.[Reference Choi, Park, Lee and King Liu18] Since then, many research groups have reported sub-60 mV/decade operation of Si-TFETs.[Reference Kim, Kam, Hu and King Liu19Reference Mori, Yasuda, Fukuda, Morita, Migita, Tanabe, Maeda, Mizubayashi, O'uchi, Liu, Masahara, Miyata and Ota24]

The low ON current (I ON) is the most significant issue in TFET research. The I ON of TFETs is still not sufficient for practically fast circuit operation. TFETs inherently have a high-resistance tunneling barrier. As the tunneling current is essentially related to material science, many TFETs with new materials, including not only Ge or III–V materials[Reference Takagi, Ahn, Noguchi, Gotow, Nishi, Kim and Takenaka25] but also two-dimensional semiconductors,[Reference Li, Yan, Jena and Xing26] have been reported. Recently, a material engineering technique to enhance tunneling current in Si has been proposed, which utilizes isoelectronic traps (IETs),[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27, Reference Mori, Morita, Miyata, Migita, Fukuda, Mizubayashi, Masahara, Yasuda and Ota28] Experimental demonstrations of the current enhancement and improvement of circuit performance have been reported,[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27, Reference Mori, Asai, Hattori, Fukuda, Otsuka, Morita, O'uchi, Fuketa, Migita, Mizubayashi, Ota and Matsukawa29] in which IET-assisted tunneling (IETT) is utilized instead of conventional band-to-band tunneling (BTBT).

This paper reviews the IET technology to enhance I ON in Si-TFETs. General issues concerning TFETs have been reviewed in.[Reference Seabaugh and Zhang10, Reference Ionescu and Riel30] First, we present an overview of the state-of-the-art TFET research. Then, we examine the IET technology for Si-TFETs.

Overview of state-of-the-art TFET research

Guidelines to enhance BTBT

Before starting to discuss IET technology, we briefly discuss the conventional guidelines to improve TFET performance, aiming to summarize the background of the IET technology.

BTBT through a pn junction is categorized as Esaki tunneling under a forward bias or as Zener tunneling under a reverse bias. TFETs utilize Zener tunneling [Fig. 1(a)]. We begin with the equation of tunneling current flowing through a junction sandwiched between two electrodes[Reference Datta31]:

(1)$$I \propto \mathop \int \nolimits \left[ {\,f_1 \left( E \right) - f_2 \left( E \right)} \right]\left \vert M \right \vert ^2 D_1 \left( E \right)D_2 \left( E \right)dE, $$

where f(E) is a Fermi function, M is a transfer matrix element for transition, and D(E) is a density-of-state (DOS) function. Tunneling is a transition between two states. Thus, M can be expressed following Fermi's golden rule as

(2)$$\left \vert M \right \vert ^2 \,\propto\, \left \vert {\langle\psi _2 {\rm \vert} \!\!-\!\!Fx{\rm \vert} \psi _1\rangle} \right \vert ^2, $$

where ψ is a wave function and the perturbative transition Hamiltonian is –Fx, in which F is the strength of the electric field at the junction, and x is the electron coordinate along the tunneling direction. In the case of BTBT through a pn junction, ψ 1 is the state of valence band maximum (VBM), and ψ 2 is that of conduction band minimum (CBM).

Figure 1. (a) Schematic band diagrams for a pn diode. (b) Schematic views of indirect and direct processes for Zener tunneling. The Ek relationship is superimposed on band diagrams. (c) Density of states for free electrons in 3D, 2D, and 1D systems. (d) Tunneling rates for typical semiconductors calculated with Eqs. (3)–(5).

Equation (2) provides the first guideline to enhance tunneling current in TFETs: we should aim to increase the value of the integral on the right-hand side of the equation. Among conventional semiconductor materials used in electronic devices, which have crystal structures such as diamond, zincblende, and wurtzite, direct-band gap semiconductors, such as InGaAs, have a p-like VBM state and an s-like CBM state. Here the integral on the right-hand side of Eq. (2) has a significant value. In contrast, indirect-gap semiconductors, such as Si, have p-like CBM and VBM states, because of which the integral on the right-hand side has an insignificant value [Fig. 1(b)]. In indirect-gap semiconductors, as in the case of luminescence, phonon-assisted indirect tunneling occurs; however, its transition probability is lower than that of direct tunneling. This situation can be understood as the wave-number conservation rule. However, the indirect Ge case, in which direct tunneling occurs because the direct gap energy is close to the indirect gap energy, is complicated.[Reference Kao, Verhulst, Vandenberghe, Sorée, Groeseneken and De Meyer32] The direct/indirect tunneling current can be experimentally distinguished by temperature-dependent electric measurements.[Reference Mori, Morita, Miyata, Migita, Fukuda, Mizubayashi, Masahara, Yasuda and Ota28]

The second guideline is related to DOS, as expressed in Eq. (1). A higher DOS results in a higher tunneling current. Furthermore, the DOS depends on the dimensionality of a system, which affects SS [Fig. 1(c)]. For example, the step function of the DOS in two-dimensional (2D) systems leads us to expect steeper switching than in the corresponding three-dimensional (3D) case.[Reference Lattanzio, De Michielis and Ionescu33Reference Agarwal, Teherani, Hoyt, Antoniadis and Yablonovitch35] This is also valid in one-dimensional (1D) systems. Thus, low-dimensional systems like 2D semiconductors or nanowires have an advantage in terms of SS in addition to electrostatic control, as expected in MOSFETs.

Kane derived a familiar equation for Zener's BTBT,[Reference Kane36] which yields the third guideline. The equation for tunneling rate G, which is proportional to I, is expressed as[Reference Kao, Verhulst, Vandenberghe, Sorée, Groeseneken and De Meyer32, Reference Kane36]

(3)$$G = AF^P {\rm exp}\left( { - \displaystyle{B \over F}} \right),$$

where A and B are Kane tunneling parameters, and P is 2 and 2.5 for direct and indirect BTBT, respectively. In the case of direct BTBT, the Kane tunneling parameters are

(4)$$A = \displaystyle{{gm_{\rm r}^{1/2} q^2} \over {\pi h^2 E_{\rm g}^{1/2}}}, $$
(5)$$B = \displaystyle{{\pi ^2 m_{\rm r}^{1/2} E_{\rm g}^{3/2}} \over {qh}},$$

where g is a degeneracy factor, m r is the tunnel mass (1/m r = 1/m c + 1/m v, where m c and m v are the effective masses for conduction and valence bands, respectively), and E g is the band gap energy. According to Eqs. (3) and (5), a smaller B results in a higher tunneling current. Then, according to Eq. (5), we can expect a higher tunneling current with smaller E g and m r. Qualitatively speaking, m c is proportional to E g[Reference Harrison37]; therefore, we can choose materials having both a small E g and small m r. However, materials with a smaller effective mass have a smaller DOS.[Reference Davis38] Because of this trade-off relationship, a balance between E g and m r, as expressed in Eq. (4), is required. Figure 1(d) shows a plot of G versus F calculated using Eqs. (3)–(5) for typical semiconductor materials. It is noted that the OFF current in TFETs increases with decreasing E g because of the lower tunneling barrier, although here we discuss I ON and SS only.

As discussed above, the guidelines to obtain a higher tunneling current are the utilization of direct-gap semiconductors with lower E g (so as to not increase the OFF current) and low-dimensional device structures.

Device demonstrations

To our knowledge, the best performance thus far was reported in Lund University for an N-type nanowire TFET, in which an InAs/GaAsSb heterojunction was utilized.[Reference Kane36] The device exhibited I on = 10 µA/μm and SS = 48 mV/decade at V DS = 0.3 V. The device follows the guidelines discussed in the previous section.

Figure 2(a) shows a benchmark for experimentally demonstrated N-type TFETs. III–V TFETs exhibit higher I ON values. The benchmark follows the guidelines discussed in the previous section. For Ge-TFETs, which can utilize direct tunneling, the highest performance reported thus far was achieved in Tokyo University.[Reference Harrison37] The highest SS of 21 mV/decade was achieved in Hokkaido University with InAs/Si heterojunction nanowire TFETs.[Reference Davis38] For Si-TFETs, two devices showing better performance have been reported[Reference Choi, Park, Lee and King Liu18, Reference Huang, Zhan, Huang, Mao, Zhang, Qiu and Wang51]; however, because these two devices were operated with a relatively high operation voltage, we cannot compare them with the devices shown in this benchmark.

Figure 2. Benchmark plots for (a) N-type and (b) P-type TFETs. (c) A plot for a limited number of samples realizing integration.

Some discussions are required on P-type TFETs. Figure 2(b) shows a benchmark for P-type TFETs. Surprisingly, Si-TFETs, which are expected to show poor performance because of indirect tunneling, exhibits better performance than III–V and Ge TFETs. This is probably because it is difficult to fabricate the source–channel junction for P-type TFETs with III–V materials or Ge, for which the source is n-type and the channel is p-type. At present, we do not have a solution for this problem, but some articles discussed the feasibility of realizing P-type TFETs with these materials.[Reference Pandey, Schulte-Braucks, Sajjad, Barth, Ghosh, Grisafe, Sharma, von den Driesch, Vohra, Rayner, Loo, Mantl, Buca, Yeh, Wu, Tsai, Antoniadis and Datta56]

Recently, some papers reported the experimental demonstration of TFET integration. Six papers reported the fabrication of both types of TFETs on the same wafer. A benchmark limited to these six papers is shown in Fig. 2(c). Of these, two papers utilized III–V TFETs,[Reference Pandey, Madan, Liu, Chobpattana, Barth, Rajamohanan, Hollander, Clark, Wang, Kim, Gundlach, Cheung, Suehle, Engel-Herbert, Stemmer and Datta42, Reference Cutaia, Moselund, Schmid, Borg, Olziersky and Riel43] and the other four utilized Si-TFETs.[Reference Mori, Asai, Hattori, Fukuda, Otsuka, Morita, O'uchi, Fuketa, Migita, Mizubayashi, Ota and Matsukawa29, Reference Huang, Jia, Chen, Zhu, Guo, Wang, Wang, Wu, Wang, Bu, Kang, Wang, Wu, Lee, Wang and Huang48, Reference Kondo, Goto, Morita, Mori, Migita, Hokazono, Ota, Masahara and Kawanaka49, Reference Morita, Mori, Migita, Mizubayashi, Fukuda, Matsukawa, Endo, O'uchi, Liu, Masahara and Ota58] Si-TFETs show good performance owing to the ease of integration. The guidelines for TFET development are as discussed in the previous section; however, there remain difficulties in satisfying all the guidelines.

Isoelectronic trap (IET) technology

Concept

For taking advantage of the ease of integration of Si-TFETs, we aim to enhance I ON in Si-TFETs sufficiently for practical application. However, the BTBT rate in Si-TFETs is low in principle. A new idea to enhance I ON in Si-TFETs is to utilize a tunneling path different from BTBT, and Mori et al. proposed the use of IET technology.[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27, Reference Mori, Morita, Miyata, Migita, Fukuda, Mizubayashi, Masahara, Yasuda and Ota28] The proposal is to produce an intermediate isolated state in the pn junction and utilize the tunneling current mediated by the state [Fig. 3(a)]. The intermediate state acts as a “stepping stone” for electrons tunneling from the valence to conduction bands. Thus, we can realize a tunneling path different from BTBT. In this idea, the key point is the concentration of the intermediate state. The concentration should be sufficiently low such that the intermediate state is isolated and does not form a band. In this situation, the intermediate state is not selective in terms of wave numbers, like atoms. In other words, the intermediate state can take any wave number because of the uncertainty principle. Following this scenario, we can aim to relax the k-conservation rule in indirect-gap semiconductors and realize pseudo-direct tunneling to obtain a higher tunneling rate. Here isoelectronic impurities (IEIs) are chosen to form the intermediate state. Specifically, the Al–N pair is chosen, as discussed later.

Figure 3. (a) Schematic representation showing the idea of using intermediate states for tunneling through a pn junction. (b) Schematic atomic configuration of an Al–N pair in a host Si crystal. (c) Calculated band diagram of Si with an Al–N pair. The Al–N pair provides a discrete state in the band gap. The wave function of the discrete state at the Γ point is also shown.

Isoelectronic impurities

There is a long history of research on IEIs, which have been mentioned in papers published in the 1960s. IEIs are also called “isovalent electron impurities” because the impurities are isovalent with the host material and do not produce carriers. In the simplest substitutional view, for Si, other group-IV elements such as C, Ge, and Sn can be IEIs. The impurity pairs following the octet rule, such as III–V and II–VI pairs, are also IEIs if they do not produce dangling bonds. The definition as not producing carriers is notably wide, but the IEIs of interest form states in the band gap of host materials and produce unique physical phenomena such as luminescence. The mechanisms for the formation of states by IEIs have been discussed previously.[Reference Brown, Hall and Lockwood59] There are two scenarios: one is that the core charge, different from that of the host material, provides strong short-range potential perturbation, while the other is that the atom-size difference between the IEIs produces local strains resulting in potential perturbation in the host material.

Thus far, the most successful application of IEIs has been green light-emitting diodes (LEDs) realized using GaP:N before the InGaN era. GaP is an indirect-gap host material.[Reference Thomas and Hopfield60] The IEI in this application is N, which forms N–N pairs in the host GaP and realizes strong pseudo-direct luminescence at room temperature. Specifically, the luminescence originates from the exciton emission bound to the IET.

Isoelectronic impurities in silicon

The IEIs in Si have also been studied with the motivation to realize LEDs. Unfortunately, the binding energy of excitons bound to IET states is not sufficient for emission at room temperature, because of which its practical application has not been realized yet. The situation of IETs in Si is slightly complicated. The substitutional C, Ge, and Sn—the previously called “simple” IEIs—do not exhibit the exciton emission bound to the IETs. It is supposed that these IEIs do not yield the important states in the band gap. The IET emissions were observed with single-atom IEIs, which are In,[Reference Thewalt, Ziemelis and Parsons61] Be,[Reference Henry, Lightowlers, Killoran, Dunstan and Cavenett62] Cu,[Reference Weber, Bauch and Sauer63] S,[Reference Brown and Hall64] Se,[Reference Bradfield, Brown and Hall65] and Zn,[Reference Henry, Campion and McGuigan66] and an atom-pair IEI, which is Al–N.[Reference Modavis and Hall67] The single-atom IEIs contain donor/acceptor impurities. For Zn, for example, it is suggested that Zn–O pairs are produced with residual O impurities in Si substrates.[Reference Daly, McGlynn, Henry, Campion, McGuigan, do Carmo and Nazaré68] For Be, the IET is produced by Be–Be pairs.[Reference Brown, Bradfield, Hall and Soref69] For In, it is suggested that residual N atoms in Si substrates cause the IET formation.[Reference Brown, Hall and Lockwood59]

There are a relatively large number of papers on the Al–N IEI, and we utilized the Al–N IEI for our works. First, Weber et al. experimentally investigated emissions observed in Si:Al in detail; then, they suggested that the IETs in Si:Al were produced by a substitutional pair having C3v symmetry along the 〈111〉 axis.[Reference Weber, Schmid and Sauer70] Subsequently, Alt and Tapfer revealed that N atoms participate in these emissions,[Reference Ch. Alt and Tapfer71] and Modavis and Hall realized strong luminescence by the co-doping of Al and N.[Reference Modavis and Hall67] Moreover, Tajima and Kamata utilized these emissions to estimate the residual N concentration in Si wafers.[Reference Tajima and Kamata72] The IET state is approximately 30 meV below the CBM.[Reference Weber, Schmid and Sauer70] The most recent studies were conducted by Iizuka and Nakayama using first-principles calculations.[Reference Iizuka and Nakayama73, Reference Iizuka and Nakayama74] They clarified the stable atomic configuration of the Al–N pair: the substitutional nearest-neighbor configuration is preferred over configurations comprising interstitials [Fig. 3(b)]. This configuration follows the prediction of Weber et al. based on their experiments. The Al–N pair provides a state in the band gap, which mainly comprises the N 3s state [Fig. 3(c)].[Reference Iizuka and Nakayama73]

Proof-of-concept experiments

On diodes

In this section, we discuss the enhancement of tunneling current flowing in diodes under the reverse-bias condition resulting from the introduction of an IEI, which was the first proof-of-concept experiment.[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27, Reference Mori, Morita, Miyata, Migita, Fukuda, Mizubayashi, Masahara, Yasuda and Ota28] The diode consisted of an n-type epitaxial Si thin film on a p-type substrate [Fig. 4(a)]. The Al–N IEI was doped by the ion implantation (I/I) processes and activated by low-temperature annealing at 450°C. The formation of the IET state was confirmed by photoluminescence spectroscopy at cryogenic temperature. The concentrations of Al and N were approximately 1018 cm−3 around the pn junction. Four types of diodes were examined: Al–N-, Al-, and N-implanted diodes and a control diode without additional impurities [Fig. 4(b)]. The temperature dependence of tunneling current in the control diode follows the trend of conventional indirect BTBT.[Reference Mori, Morita, Miyata, Migita, Fukuda, Mizubayashi, Masahara, Yasuda and Ota28] In the higher temperature range, the tunneling current flowing in the implanted diodes comprises the so-called trap-assisted tunneling (TAT) consisting of tunneling to an impurity or defect state and thermal emission from the state to the band. Therefore, it is fair to compare such currents with currents in the lower temperature range, in which tunneling consists of “pure” tunneling without thermal paths. In the comparison, the Al–N-implanted diode exhibited a current enhancement by a factor of 735, which implies that the Al–N co-doping enhances the tunneling current. The Al-implanted diode also exhibited current enhancement, but the enhancement was less than that in the Al–N case and is probably similar to the case of Tajima's experiments,[Reference Tajima and Kamata72] in which the residual N impurity in a Si wafer causes the Al–N formation. The N-implanted diode exhibited no enhancement, but the current decreased because I/I defects are likely to compensate for carrier-generating dopants making the junction less steep. The current enhancement does not originate from the change of carrier concentration accompanying Al and N doping. The co-doping of Al and N induces hole generation in Si, and its activation ratio is approximately 10%.[Reference Mori75] Therefore, the change of carrier concentration does not significantly affect tunneling current.

The above experiment was the first to show that the co-doping of Al and N enhances the tunneling current in Si. The supposed tunneling paths are summarized in Fig. 4(c). From this demonstration, it is not certain what IETT is—it will be discussed later with the results of a theoretical calculation.

On TFETs

The next experiment was on TFETs,[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27, Reference Mori, Migita, Fukuda, Asai, Morita, Mizubayashi, Liu, O'uchi, Fuketa, Otsuka, Yasuda, Masahara, Ota and Matsukawa76] which demonstrated N-type TFETs on a silicon-on-insulator (SOI) wafer. The high-k/metal gate technology was also utilized [Fig. 5(a)]. The IV characteristics at room temperature are shown in Fig. 5(b). The I d − V d curves exhibit I ON enhancement by a factor of 11 owing to the doping of Al–N. The SS was also improved owing to the current enhancement, as expected from Eq. (3). These improvements were also observed at a cryogenic temperature, as in the diode case. The I OFF slightly increased because of the increase of tunneling current flowing through the junction at the drain side. This is not essential for the IET technology. If we utilize the so-called drain-offset structure,[Reference Mori, Yasuda, Maeda, Mizubayashi, O'uchi, Liu, Sakamoto, Masahara and Ota77] we can avoid the I OFF increase despite utilizing IET technology.[Reference Mori, Mizubayashi, Morita, Migita, Fukuda, Miyata, Yasuda, Masahara and Ota78] Unfortunately, the enhancement is less than that of diodes, which is supposed to be related to the mechanism of IETT, as discussed later. The entire active region consisting of the source, channel, and drain was exposed by Al–N I/I in the experiments. Then, the source sheet resistance was increased with I/I,[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27] which suggests that Al–N implantations compensate for carrier-generating dopants, as is the case with N implantation in the diodes.

Figure 5. (a) Schematic representation of an N-type TFET fabricated on an SOI wafer.[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27] (b) IV curves of the control TFET, which does not incorporate IET, and IET–TFET.[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27]

Another experiment has been conducted utilizing heated ion implantation (HII),[Reference Mori, Mizubayashi, Morita, Migita, Fukuda, Miyata, Yasuda, Masahara and Ota78] which is used to reduce implantation defects by heating the wafer when I/I is performed. In the experiment, the wafer was heated to 200°C in the Al and N implantation processes. The HII process realized an I ON value three times that of the conventional IET–TFET with the RT I/I process. A lower number of I/I defects result in stronger IET effects. The comprehensive scenario is as follows. The defects hamper the IET activation. Fewer defects increase active IET and result in the greater current enhancement. It is supposed that the current enhancement with IET notably concerns the microscopic structure surrounding Al–N pairs.

On TFET circuits

The final objective of I ON enhancement is faster circuit operation. Complementary TFET (CTFET) circuit operation has been demonstrated with the IET technology.[Reference Mori, Asai, Hattori, Fukuda, Otsuka, Morita, O'uchi, Fuketa, Migita, Mizubayashi, Ota and Matsukawa29] The experiment was slightly different from the experiments in the previous section. I ON enhancement by factors of five and two has been achieved in P- and N-type TFETs, respectively [Fig. 6(a)]. The simplest CTFET circuit is an inverter, as shown in Fig. 6(b). A higher gain was achieved, especially in a low operation-voltage range, owing to the I ON enhancement. The full swing was not achieved because of the high I OFF, which can be avoided by using the drain-offset structure simulated as an orange curve in Fig. 6(b). The situation seems to be the case in the previous section.

Figure 6. (a) I D − V D curves of P- and N-type TFETs. The IET technology enhances tunneling current.[Reference Mori, Asai, Hattori, Fukuda, Otsuka, Morita, O'uchi, Fuketa, Migita, Mizubayashi, Ota and Matsukawa29] (b) SEM image, schematic structure, and transfer curves of TFET inverters.[Reference Mori, Asai, Hattori, Fukuda, Otsuka, Morita, O'uchi, Fuketa, Migita, Mizubayashi, Ota and Matsukawa29] (c) Optical microscope image, schematic circuit diagram, and output waveforms of 23-stage full TFET ring oscillators.[Reference Mori, Asai, Hattori, Fukuda, Otsuka, Morita, O'uchi, Fuketa, Migita, Mizubayashi, Ota and Matsukawa29]

The ring oscillator (RO) circuit is fabricated to estimate circuit operation speed, which is the first performance indicator for newly developed devices. Twenty-three-stage full TFET ROs, in which all transistors were TFETs, were fabricated and successfully operated [Fig. 6(c)].[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27] The output waveforms are also shown in the figure. It is clear that the IET technology enhances operation speed owing to the current enhancement. It is noted that this was the first demonstration of RO circuit operation for TFETs.

Theoretical view of IETT

Iizuka et al. reported the theoretical framework of IETT.[Reference Iizuka, Asayama and Nakayama79] This section is based on their paper. Figure 7(a) shows the tunneling path of IETT. Electron tunneling occurs in path A, which has a long distance. It is assumed that electrons move in path B by drift transport because the IET state resonates with the CB state. We find that the assumption is correct later. It is noted that IETT does not include thermal paths; therefore, it is expected that IETT exhibits no temperature dependence like TAT, which includes a thermal transition path. On the other hand, the experiments showed a temperature dependence of SS. It was probably caused by TAT originating from defects in the junction, which are not an essential characteristic of IETT.[Reference Mori, Morita, Miyata, Migita, Fukuda, Masahara, Yasuda and Ota27]

Figure 7. (a) Tunneling of IETT consisting of two paths: the longer path A and the shorter path B. (b) Plot of components of ψ IET decomposed by wave functions of host Si, φ Si,μ, as a function of eigenenergy, where |C μ|2 = |〈ψ IET|ϕ Si,μ〉|2.[Reference Iizuka, Asayama and Nakayama79] (c) Tunneling probability of BTBT and IETT. The length of path B is assumed as d = 1 nm.[Reference Iizuka, Asayama and Nakayama79]

According to Eq. (1), we should first consider DOS. The DOS of the VBM and CBM were estimated as D v = 1.19 × 1010 eV−1 and D c = 8.16 × 109 eV−1, respectively. In contrast, the DOS of the IET state was liberally estimated as D IET = 3.25 × 107 eV−1 under the assumption that all Al and N atoms formed activated pairs in the host material. As D IET is two orders of magnitude less than D c, |M|2 must compensate for the shortage to realize a higher current.

Two factors are involved in the enhancement of |M|2. One is tunneling length. The effective tunneling length of IETT is the length of path A shown in Fig. 7(a), which is clearly shorter than the length of BTBT, resulting in a larger |M|2. Here, we consider path B in which electrons move by drift transport as assumed in the first part of this section. Figure 7(b) shows a plot of components of ψ IET decomposed by the wave functions of host Si as a function of eigenenergy. The IET state mainly comprises X-point-like states. This indicates that the IET state resonates with the CBM state of host Si, because of which path B does not contribute to tunneling and IETT takes advantage of the shorter tunneling length. The other factor is the relaxed k-conservation rule. Figure 7(b) also shows that the variety of components is notably significant, which indicates that the IET state is localized and can relax the k-conservation rule along the tunneling direction. In relation to this, it is also important that the probability of BTBT in silicon is lower because of p-to-p transition as discussed previously, while the probability of IETT is higher because of p-to-s transition owing to the N-3s orbital nature of the IET state as discussed with Fig. 3(c). These two factors, tunneling length and relaxed k-conservation rule, enhance |M|2 to enhance tunneling current, despite the lower DOS.

Figure 7(c) shows the tunneling probability as a function of tunneling length. Both BTBT and IETT show the same trend of exponential increase with decreasing length. Here, we point out the difference of slope between these two curves. This difference is due to the difference of envelope function, and it indicates that a shorter tunneling length can realize a much higher enhancement ratio between IETT and BTBT. That is, if we realize a much shorter tunneling length—through device-structure modification, for example—we can expect a higher I ON in Si-TFETs. Furthermore, it is speculated that this causes the difference of enhancement ratio between the diodes and TFETs in the experiments. This fact is notably promising for Si-TFETs because we can expect a higher I ON than in the proof-of-concept experiments.

Summary and future outlook

In summary, we reviewed the IET technology proposed to enhance I ON in Si-TFETs. The proof-of-concept experiments demonstrated an I ON enhancement in SOI-TFETs by a factor of approximately 10. Theoretical calculation predicts that it is possible to realize a much higher I ON enhancement.

Here we comment on certain features of TFETs not mentioned in the main text. The fabrication process of Si-TFETs is compatible with that of conventional Si-MOSFETs; therefore, the fabrication cost does not matter. The scalability is expected to be better than that of MOSFETs because the short-channel effect is supposed to be insignificant in TFETs, the major characteristics of which are determined by the source-side edge of the gate. Therefore, in principle, planar-type TFETs could operate even in the technology node in which finFETs are utilized. The most important issue in the fabrication is source/drain formation because the self-alignment process of the source and drain for TFETs is not clear at present, which could hamper dimensional scaling. The performance variability is also under research. Especially for IET–TFETs, we can speculate that the IET impurities provide additional variation. On the other hand, it was reported that IET–TFETs exhibited less variation than conventional TFETs in the case of large devices, which is attributed to the decrease of tunneling rate fluctuation with the increase of tunneling probability.[Reference Mori, Migita, Fukuda, Asai, Morita, Mizubayashi, Liu, O'uchi, Fuketa, Otsuka, Yasuda, Masahara, Ota and Matsukawa76] Smaller IET–TFETs could exhibit much larger variation because of impurity fluctuation; however, there is a trade-off relationship between the increase of impurity fluctuation and the suppression of tunneling rate fluctuation. More research is needed to discuss the variability of IET–TFETs in detail.

Finally, we present an estimation of the future performance of IET–TFETs by utilizing simulations.[Reference Mori, Asai, Hattori, Fukuda, Otsuka, Morita, O'uchi, Fuketa, Migita, Mizubayashi, Ota and Matsukawa29] The enhancement in Si-TFET was assumed to be as high as in the case of the diodes; that is, enhancement by a factor of 735 was assumed. Here, not only I ON enhancement but also threshold-voltage optimization and device miniaturization to reduce capacitance were considered. The target operation voltage is approximately 0.3 V, at which MOSFETs show subthreshold operation.[Reference Myers, Savanth, Howard, Gaddh, Prabhat and Flynn80] Here, MOSFETs operate with diffusion current, because of which mobility enhancement technologies to enhance drift current cannot be utilized. In this low operation-voltage range, IET–TFETs can be comparable or superior to 65-nm-node MOSFETs.

A similar prediction has been reported for III–V or Ge TFETs. These TFETs still have issues as P-type TFETs and in the device integration process. On the other hand, IET–TFETs are required to realize a much higher I ON experimentally. Now, we have some types of TFETs as candidates for building blocks of low-power-consumption LSIs. Competition for device demonstrations with performance suitable for practical application is underway. At present, it is not certain which TFET is the best, but researchers are expected to usher in a new era of high-performance computers realized by new low-power-consumption transistors.

Acknowledgments

This study was supported by the FIRST program initiated by CSTP through JSPS, JSPS KAKENHI Grant-in-Aid for Young Scientists (A) No. 15H05526, and NEDO, Japan.

Footnotes

Present address: Center for Green Research on Energy and Environmental Materials (GREEN), National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044, Japan.

References

1.Moore, G.E.: Cramming more components onto integrated circuits. Electronics 38, 114 (1965).Google Scholar
2.Moore, G.E.: Progress in digital integrated electronics. In Technical Digest IEEE Int. Electron Devices Meeting, 1975, pp. 1113.Google Scholar
3.Koomey, J.G., Berard, S., Sanchez, M., and Wong, H.: Implications of historical trends in the electrical efficiency of computing. IEEE Ann. Hist. Comput. 33, 46 (2011).Google Scholar
4.Dennard, R.H., Gaensslen, F.H., Rideout, V.L., Bassous, E., and LeBlanc, A.R.: Design of ion-implanted MOSFET's with very small physical dimensions. IEEE J. Solid-State Circuits 9, 256 (1974).Google Scholar
5.Taur, Y. and Ning, T.H.: Fundamentals of Modern VLSI Devices, 2nd ed. (Cambridge Univ. Press, Cambridge, England, 2009), p. 175.Google Scholar
6.Hisamoto, D., Lee, W.-C., Kedzierski, J., Takeuchi, H., Asano, K., Kuo, C., Anderson, E., King, T.-J., Bokor, J., and Fu, C.: FinFET—a self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans. Electron Devices 47, 2320 (2000).Google Scholar
7.Kuhn, K.J.: Considerations for ultimate CMOS scaling. IEEE Trans. Electron Devices 59, 1813 (2012).Google Scholar
8.Robertson, J.: High dielectric constant gate oxides for metal oxide Si transistors. Rep. Prog. Phys. 69, 327 (2006).Google Scholar
9.Sze, S.M.: Physics of Semiconductor Devices, 2nd ed. (Wiley-Interscience Publication, New York, USA, 1981), p. 446.Google Scholar
10.Seabaugh, A.C. and Zhang, Q.: Low-voltage tunnel transistors for beyond CMOS logic. Proc. IEEE 98, 2095 (2010).Google Scholar
11.Salahuddin, S. and Datta, S.: Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano Lett. 8, 405 (2008).Google Scholar
12.Shukla, N., Grisafe, B., Ghosh, R.K., Jao, N., Aziz, A., Frougier, J., Jerry, M., Sonde, S., Rouvimov, S., Orlova, T., Gupta, S., and Datta, S.: Ag/HfO2 based threshold switch with extreme non-linearity for unipolar cross-point memory and steep-slope phase-FETs. In Technical Digest IEEE Int. Electron Devices Meeting, 2016, pp. 866869.Google Scholar
13.Stuetzer, O.M.: Junction fieldistors. Proc. IRE 40, 1377 (1952).Google Scholar
14.Schockley, W. and Hopper, W.W.: The surface controlled avalanche transistor. In Proc. WESCON, vol. 64, 1964, p. 12.1.Google Scholar
15.Quinn, J.J., Kawamoto, G., and McCombe, B.C.: Subband spectroscopy by surface channel tunneling. Surf. Sci. 73, 190 (1978).Google Scholar
16.Baba, T.: Proposal for surface tunnel transistors. Jpn. J. Appl. Phys. 31, L455 (1992).Google Scholar
17.Appenzeller, J., Lin, Y.-M., Knoch, J., and Avouris, P.: Band-to-band tunneling in carbon nanotube field-effect transistors. Phys. Rev. Lett. 93, 196805 (2004).Google Scholar
18.Choi, W.Y., Park, B.-G., Lee, J.D., and King Liu, T.-J.: Tunneling field-effect transistors (TFETs) with subthreshold swing (SS) less than 60 mV/dec. IEEE Electron Device Lett. 28, 743 (2007).Google Scholar
19.Kim, S.H., Kam, H., Hu, C., and King Liu, T.-J.: Germanium-source tunnel field effect transistors with record high I ON/I OFF. In Symp. VLSI Technology – Digest of Technical Papers, 2009, p. 178.Google Scholar
20.Mayer, F., Le Royer, C., Damlencourt, J.-F., Romanjek, K., Andrieu, F., Tabone, C., Previtali, B., and Deleonibus, S.: Impact of SOI, Si1−xGexOI and GeOI substrates on CMOS compatible tunnel FET performance. In Technical Digest IEEE Int. Electron Devices Meeting, 2008, p. 163.Google Scholar
21.Huang, Q., Zhan, Z., Huang, R., Mao, X., Zhang, L., Qiu, Y., and Wang, Y.: Si tunnel transistors with a novel silicided source and 46 mV/dec swing. In Technical Digest IEEE Int. Electron Devices Meeting, 2001, p. 382.Google Scholar
22.Jeon, K., Loh, W.-Y., Patel, P., Kang, C.Y., Oh, J., Bowonder, A., Park, C., Park, C.S., Smith, C., Majhi, P., Tseng, H.-H., Jammy, R., King Liu, T.-J., and Hu, C.: Si tunnel transistors with a novel silicided source and 46 mV/dec swing. In Symp. VLSI Technology – Digest of Technical Papers, 2010, p. 121.Google Scholar
23.Gandhi, R., Chen, Z., Singh, N., Banerjee, K., and Lee, S.: Vertical Si-nanowire n-type tunneling FETs with low subthreshold swing (≤50 mV/decade) at room temperature. IEEE Electron Device Lett. 32, 437 (2011).Google Scholar
24.Mori, T., Yasuda, T., Fukuda, K., Morita, Y., Migita, S., Tanabe, A., Maeda, T., Mizubayashi, W., O'uchi, S., Liu, Y., Masahara, M., Miyata, N., and Ota, H.: Unexpected equivalent-oxide-thickness dependence of the subthreshold swing in tunnel field-effect transistors. Appl. Phys. Express 7, 024201 (2014).Google Scholar
25.Takagi, S., Ahn, D.H., Noguchi, M., Gotow, T., Nishi, K., Kim, M., and Takenaka, M.: Tunneling MOSFET technologies using III–V/Ge materials. In Technical Digest IEEE Int. Electron Devices Meeting, 2016, pp. 516519.Google Scholar
26.Li, M., Yan, R., Jena, D., and Xing, H.G.: Two-dimensional heterojunction interlayer tunnel FET (Thin-TFET): from theory to applications. In Technical Digeast IEEE Int. Electron Devices Meeting, 2016, pp. 504507.Google Scholar
27.Mori, T., Morita, Y., Miyata, N., Migita, S., Fukuda, K., Masahara, M., Yasuda, T., and Ota, H.: Band-to-band tunneling current enhancement utilizing isoelectronic trap and its application to TFETs. In Symp. VLSI Technology – Digest of Technical Papers, 2014, pp. 8687.Google Scholar
28.Mori, T., Morita, Y., Miyata, N., Migita, S., Fukuda, K., Mizubayashi, W., Masahara, M., Yasuda, T., and Ota, H.: Study of tunneling transport in Si-based tunnel field-effect transistors with ON current enhancement utilizing isoelectronic trap. Appl. Phys. Lett. 106, 083501 (2015).Google Scholar
29.Mori, T., Asai, H., Hattori, J., Fukuda, K., Otsuka, S., Morita, Y., O'uchi, S., Fuketa, H., Migita, S., Mizubayashi, W., Ota, H., and Matsukawa, T.: Demonstrating performance improvement of complementary TFET circuits by I ON enhancement based on isoelectronic trap technology. In Technical Digeast IEEE Int. Electron Devices Meeting, 2016, pp. 512515.Google Scholar
30.Ionescu, A.M. and Riel, H.: Tunnel field-effect transistors as energy-efficient electronic switches. Nature 479, 329 (2011).Google Scholar
31.Datta, S.: Electronic Transport in Mesoscopic Systems (Cambridge University Press, Cambridge, England, 1995), p. 161.Google Scholar
32.Kao, K.-H., Verhulst, A.S., Vandenberghe, W.G., Sorée, B., Groeseneken, G., and De Meyer, K.: Direct and indirect band-to-band tunneling in Germanium-based TFETs. IEEE Trans. Electron. Devices 59, 292 (2012).Google Scholar
33.Lattanzio, L., De Michielis, L., and Ionescu, A.M.: The electron-hole bilayer tunnel FET. Solid-State Electron. 74, 85 (2012).Google Scholar
34.Alper, C., Lattanzio, L., De Michielis, L., Palestri, P., Selmi, L., and Ionescu, A.M.: Quantum mechanical study of the Germanium electron-hole bilayer tunnel FET. IEEE Trans. Electron. Devices 60, 2754 (2013).Google Scholar
35.Agarwal, S., Teherani, J.T., Hoyt, J.L., Antoniadis, D.A., and Yablonovitch, E.: Engineering the electron-hole bilayer tunneling field-effect transistor. IEEE Trans. Electron. Devices 61, 1599 (2014).Google Scholar
36.Kane, E.O.: Zener tunneling in semiconductors. J. Phys. Chem. Solids 12, 181 (1960).Google Scholar
37.Harrison, W.A.: Electronic Structure and the Properties of Solids (Dover Publications, New York, USA, 1989), p. 158.Google Scholar
38.Davis, J.H.: The Physics of Low-dimensional Semiconductors (Cambridge University Press, Cambridge, England, 1998), p. 26.Google Scholar
39.Memisevic, E., Svensson, J., Hellenbrand, M., Lind, E., and Wernersson, L.-E.: Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S=48 mV/decade and I on=10 µA/μm for I off=1 nA/μm at V DS=0.3 V. In Technical Digeast IEEE Int. Electron Devices Meeting, 2016, pp. 500503.Google Scholar
40.Kim, M., Wakabayashi, Y., Nakane, R., Yokoyama, M., Takenaka, M., and Takagi, S.: High I on/I off Ge-source ultrathin body strained-SOI tunnel FETs. In Technical Digest IEEE Electron Devices Meeting, 2014, pp. 331334.Google Scholar
41.Tomioka, K., Yoshimura, M., and Fukui, T.: Steep-slope tunnel field-effect transistors using III-V nanowire/Si heterojunction. In Symp. VLSI Technology – Digest of Technical Papers, 2012, pp. 4748.Google Scholar
42.Pandey, R., Madan, H., Liu, H., Chobpattana, V., Barth, M., Rajamohanan, B., Hollander, M.J., Clark, T., Wang, K., Kim, J.-H., Gundlach, D., Cheung, K.P., Suehle, J., Engel-Herbert, R., Stemmer, S., and Datta, S.: Demonstration of p-type In0.7Ga0.3As/GaAs0.35Sb0.65 and n-type GaAs0.4Sb0.6/In0.65Ga0.35As complimentary heterojunction vertical tunnel FETs for ultra-low power logic. In Symp. VLSI Technology – Digest of Technical Papers, 2015, pp. 206207.Google Scholar
43.Cutaia, D., Moselund, K.E., Schmid, H., Borg, M., Olziersky, A., and Riel, H.: Complementary III-V heterojunction lateral NW tunnel FET technology on Si. In Symp. VLSI Technology – Digest Technical Papers, 2016, pp. 226227.Google Scholar
44.Ahn, D.H., Ji, S.M., Takenaka, M., and Takagi, S.: Performance improvement of InxGa1-xAs tunnel FETs with quantum well and EOT scaling. In Symp. VLSI Technology – Digest of Technical Papers, 2016, pp. 224225.Google Scholar
45.Noguchi, M., Kim, S.H., Yokoyama, M., Ji, S.M., Ichikawa, O., Osada, T., Hata, M., Takenaka, M., and Takagi, S.: High I on/I off and low subthreshold slope planar-type InGaAs Tunnel FETs with Zn-diffused source junctions. In Technical Digest. IEEE Int. Electron Devices Meeting, 2013, pp. 683686.Google Scholar
46.Mohata, D.K., Bijesh, R., Zhu, Y., Hudait, M.K., Southwick, R., Chbili, Z., Gundlach, D., Suehle, J., Fastenau, J.M., Loubychev, D., Liu, A.K., Mayer, T.S., Narayanan, V., and Datta, S.: Demonstration of improved heteroepitaxy, scaled gate stack and reduced interface states enabling heterojunction tunnel FETs with high drive current and high on-off ratio. In Symp. VLSI Technology – Digest of Technical Papers, 2012, pp. 5354.Google Scholar
47.Krishnamohan, T., Kim, D., Raghunathan, S., and Saraswat, K.: Double-gate strained-Ge heterostructure tunneling FET (TFET) with record high drive currents and <60 mV/dec subthreshold slope. In Technical Digest IEEE Int. Electron Devices Meeting, 2008, pp. 947949.Google Scholar
48.Huang, Q., Jia, R., Chen, C., Zhu, H., Guo, L., Wang, J., Wang, J., Wu, C., Wang, R., Bu, W., Kang, J., Wang, W., Wu, H., Lee, S.-W., Wang, Y., and Huang, R.: First foundry platform of complementary tunnel-FETs in CMOS baseline technology for ultralow-power IoT applications: manufacturability, variability and technology roadmap. In Technical Digest IEEE Int. Electron Devices Meeting, 2015, pp. 604607.Google Scholar
49.Kondo, Y., Goto, M., Morita, Y., Mori, T., Migita, S., Hokazono, A., Ota, H., Masahara, M., and Kawanaka, S.: Novel device architecture proposal of source junctionless tunneling field-effect transistor (SJL-TFET). In Ext. Abst. Int. Conf. Solid State Devices and Materials, 2014, pp. 826827.Google Scholar
50.Huang, Q., Huang, R., Zhan, Z., Qiu, Y., Jiang, W., Wu, C., and Wang, Y.: A novel Si tunnel FET with 36 mV/dec subthreshold slope based on junction depleted-modulation through striped gate configuration. In Technical Digest IEEE Int. Electron Devices Meeting, 2012, pp. 187190.Google Scholar
51.Huang, Q., Zhan, Z., Huang, R., Mao, X., Zhang, L., Qiu, Y., and Wang, Y.: Self-depleted T-gate Schottky barrier tunneling FET with low average subthreshold Slope and high ION/IOFF by gate configuration and barrier modulation. In Technical Digest IEEE Int. Electron Devices Meeting, 2011, pp. 382385.Google Scholar
52.Gandhi, R., Chen, Z., Singh, N., Banerjee, K., and Lee, S.: Vertical Si-Nanowire n-Type tunneling FETs with low subthreshold swing (≤50 mV/decade) at room temperature. IEEE Electron Devices Lett. 32, 437 (2011).Google Scholar
53.Kim, S.H., Kam, H., Hu, C., and King Liu, T.-J.: Germanium-source tunnel field effect transistors with record I ON/I OFF. In Symp. VLSI Technology Digest Technical Papers, 2009, pp. 178179.Google Scholar
54.Jeon, K., Loh, W.-Y., Patel, P., Kang, C.Y., Oh, J., Bowonder, A., Park, C., Park, C.S., Smith, C., Majhi, P., Tseng, H.-H., Jammy, R., King Liu, T.-J., and Hu, C.: Si tunnel transistors with a novel silicided source and 46 mV/dec swing. In Symp. VLSI Technology Digest Technical Papers, 2010, pp. 121122.Google Scholar
55.Mayer, F., Le Royer, C., Damlencourt, J.-F., Romanjek, K., Andrieu, F., Tabone, C., Previtali, B., and Deleonibus, S.: Impact of SOI, Si1-xGexOI and GeOI substrates on CMOS compatible tunnel FET performance. In Technical Digest IEEE Int. Electron Devices Meeting, 2008, pp. 163166.Google Scholar
56.Pandey, R., Schulte-Braucks, C., Sajjad, R.N., Barth, M., Ghosh, R.K., Grisafe, B., Sharma, P., von den Driesch, N., Vohra, A., Rayner, B., Loo, R., Mantl, S., Buca, D., Yeh, C.-C., Wu, C.-H., Tsai, W., Antoniadis, D., and Datta, S.: Performance benchmarking of p-type In0.65Ga0.35As/GaAs0.4Sb0.6 and Ge/Ge0.93Sn0.07 hetero-junction tunnel FETs. In Technical Digest Int. Electron Devices Meeting, 2016, pp. 520523.Google Scholar
57.Blaeser, S., Glass, S., Schulte-Braucks, C., Narimani, K., Driesch, N.V.D., Wirths, S., Tiedemann, A.T., Trellenkamp, S., Buca, D., Zhao, Q.T., and Mantl, S.: Novel SiGe/Si line tunneling TFET with high I on at low V DD and constant SS. In Technical Digest Int. Electron Devices Meeting, 2015, pp. 608611.Google Scholar
58.Morita, Y., Mori, T., Migita, S., Mizubayashi, W., Fukuda, K., Matsukawa, T., Endo, K., O'uchi, S., Liu, Y., Masahara, M., and Ota, H.: Improvement of epitaxial channel quality on heavily arsenic- and boron-doped Si surfaces and impact on performance of tunnel field-effect transistors. Solid-State Electron. 113, 173 (2015).Google Scholar
59.Brown, T.G. and Hall, D.G.: Radiative isoelectronic impurities in silicon and silicon-germanium alloys and superlattices, in light emission in silicon. In Semiconductors and Semimetals, edited by Lockwood, D.J. (Academic Press, 49, San Diego, USA, 1998), p. 79.Google Scholar
60.Thomas, D.G. and Hopfield, J.J.: Isoelectronic traps due to nitrogen in gallium phosphide. Phys. Rev. 150, 680 (1966).Google Scholar
61.Thewalt, M.L.W., Ziemelis, U.O., and Parsons, R.R.: Enhancement of long lifetime lines in photoluminescence from Si. Solid State Commun. 39, 27 (1981).Google Scholar
62.Henry, M.O., Lightowlers, E.C., Killoran, N., Dunstan, D.J., and Cavenett, B.C.: Bound exciton recombination in beryllium-doped silicon. J. Phys. C: Solid State Phys. 14, L255 (1981).Google Scholar
63.Weber, J., Bauch, H., and Sauer, R.: Optical properties of copper in silicon: excitons bound to isoelectronic copper pairs. Phys. Rev. B 25, 7688 (1982).Google Scholar
64.Brown, T.G. and Hall, D.G.: Optical emission at 1.32 µm from sulfur-doped crystalline silicon. Appl. Phys. Lett. 49, 245 (1986).Google Scholar
65.Bradfield, P.L., Brown, T.G., and Hall, D.G.: Radiative decay of excitons bound to chalcogen-related isoelctronic impurity complexes in silicon. Phys. Rev. B 38, 3533 (1988).Google Scholar
66.Henry, M.O., Campion, J.D., and McGuigan, K.G.: A photoluminescence study of zinc-implanted silicon. Mat. Sci. Eng. B 4, 201 (1989).Google Scholar
67.Modavis, R.A. and Hall, D.G.: Aluminum–nitrogen isoelectronic trap in silicon. J. Appl. Phys. 67, 545 (1990).Google Scholar
68.Daly, S.E., McGlynn, E., Henry, M.O., Campion, J.D., McGuigan, K.G., do Carmo, M.C., and Nazaré, M.H.: The complexing of oxygen with the group II impurities Be, Cd, and Zn in silicon. Mater. Sci. Eng. B 36, 116 (1996).Google Scholar
69.Brown, T.G., Bradfield, P.L., Hall, D.G., and Soref, R.A.: Optical emission from impurities within an epitaxial-silicon optical waveguide. Opt. Lett. 12, 753 (1987).Google Scholar
70.Weber, J., Schmid, W., and Sauer, R.: Localized exciton bound to an isoelectronic trap in silicon. Phys. Rev. B 21, 2401 (1980).Google Scholar
71.Ch. Alt, H. and Tapfer, L.: Photoluminescence study of nitrogen implanted silicon. Appl. Phys. Lett. 45, 426 (1984).Google Scholar
72.Tajima, M. and Kamata, Y.: Quantification of nitrogen in silicon by luminescence activation using aluminum ion implantation. Jpn. J. Appl. Phys. 52, 086602 (2013).Google Scholar
73.Iizuka, S. and Nakayama, T.: First-principles calculation of electronic properties of isoelectronic impurity complexes in Si. Appl. Phys. Express 8, 081301 (2015).Google Scholar
74.Iizuka, S. and Nakayama, T.: Stability and electronic structure of isoelectronic impurity complexes in Si: first-principles study. Jpn. J. Appl. Phys. 55, 101301 (2016).Google Scholar
75.Mori, T. et al. , to be presented elsewhere.Google Scholar
76.Mori, T., Migita, S., Fukuda, K., Asai, H., Morita, Y., Mizubayashi, W., Liu, Y., O'uchi, S., Fuketa, H., Otsuka, S., Yasuda, T., Masahara, M., Ota, H., and Matsukawa, T.: Suppression of tunneling rate fluctuations in tunnel field-effect transistors by enhancing tunneling probability. Jpn. J. Appl. Phys. 56, 04CD02 (2017).Google Scholar
77.Mori, T., Yasuda, T., Maeda, T., Mizubayashi, W., O'uchi, S., Liu, Y., Sakamoto, K., Masahara, M., and Ota, H.: Tunnel field-effect transistors with extremely low off-current using shadowing effect in drain implantation. Jpn. J. Appl. Phys. 50, 06GF14 (2011).Google Scholar
78.Mori, T., Mizubayashi, W., Morita, Y., Migita, S., Fukuda, K., Miyata, N., Yasuda, T., Masahara, M., and Ota, H.: Effect of hot implantation on ON-current enhancement utilizing isoelectronic trap in Si-based tunnel field-effect transistors. Appl. Phys. Express 8, 036503 (2015).Google Scholar
79.Iizuka, S., Asayama, Y., and Nakayama, T.: Tunneling current characteristics by Al+N isoelectronic traps in Si-TFET; first-principles study. Mater. Sci. Semicond. Process., to be published. http://dx.doi.org/10.1016/j.mssp.2016.11.031.Google Scholar
80.Myers, J., Savanth, A., Howard, D., Gaddh, R., Prabhat, P., and Flynn, D.: An 80 nm retention 11.7 pJ/cycle active subthreshold ARM Cortex-M0+ subsystem in 65 nm CMOS for WSN applications. In Technical Digest IEEE Int. Solid-State Circuits Conf., 2015, pp. 144145.Google Scholar
Figure 0

Figure 1. (a) Schematic band diagrams for a pn diode. (b) Schematic views of indirect and direct processes for Zener tunneling. The Ek relationship is superimposed on band diagrams. (c) Density of states for free electrons in 3D, 2D, and 1D systems. (d) Tunneling rates for typical semiconductors calculated with Eqs. (3)–(5).

Figure 1

Figure 2. Benchmark plots for (a) N-type and (b) P-type TFETs. (c) A plot for a limited number of samples realizing integration.

Figure 2

Figure 3. (a) Schematic representation showing the idea of using intermediate states for tunneling through a pn junction. (b) Schematic atomic configuration of an Al–N pair in a host Si crystal. (c) Calculated band diagram of Si with an Al–N pair. The Al–N pair provides a discrete state in the band gap. The wave function of the discrete state at the Γ point is also shown.

Figure 3

Figure 4. (a) Schematic representation of diodes fabricated in Refs. 27 and 28. (b) Temperature dependence of four types of diodes.[28] (c) Summary of tunneling paths in IET-assisted diodes.[28]

Figure 4

Figure 5. (a) Schematic representation of an N-type TFET fabricated on an SOI wafer.[27] (b) IV curves of the control TFET, which does not incorporate IET, and IET–TFET.[27]

Figure 5

Figure 6. (a) ID − VD curves of P- and N-type TFETs. The IET technology enhances tunneling current.[29] (b) SEM image, schematic structure, and transfer curves of TFET inverters.[29] (c) Optical microscope image, schematic circuit diagram, and output waveforms of 23-stage full TFET ring oscillators.[29]

Figure 6

Figure 7. (a) Tunneling of IETT consisting of two paths: the longer path A and the shorter path B. (b) Plot of components of ψIET decomposed by wave functions of host Si, φSi,μ, as a function of eigenenergy, where |Cμ|2 = |〈ψIET|ϕSi,μ〉|2.[79] (c) Tunneling probability of BTBT and IETT. The length of path B is assumed as d = 1 nm.[79]