Skip to main content Accessibility help
×
Hostname: page-component-848d4c4894-pjpqr Total loading time: 0 Render date: 2024-07-06T06:05:30.550Z Has data issue: false hasContentIssue false

3 - FDSOI technology and its implications for analog and digital design

Published online by Cambridge University Press:  05 August 2015

Kangguo Cheng
Affiliation:
IBM, Albany, New York, USA
Ali Khakifirooz
Affiliation:
Cypress Semiconductor, Sunnyvale, California, USA
Xicheng Jiang
Affiliation:
Broadcom, Irvine
Get access

Summary

In this chapter, we start with an introduction of fully depleted SOI (FDSOI) technology by reviewing the FDSOI history followed by advantages and challenges in FDSOI manufacturing and design. Implementation of FDSOI technology in high-volume manufacturing (HVM) is then discussed along with the recent progress in improving FDSOI device performance and design for mobile applications. We then focus on the implications of FDSOI for digital and analog circuit design. The competition and augmentation of FDSOI with respect to other device architectures such as FinFETs are discussed. Finally, the technology roadmap for extending FDSOI beyond 10 nm in conjunction with future material and device innovations is proposed.

CMOS scaling and FDSOI structure

Since the first invention of the transistor in 1947 [1], the semiconductor industry has grown from a niche market into a multiple billion dollar business. The worldwide semiconductor revenue is expected to be more than $330 billion in 2014 [2]. The exploding growth of the semiconductor market is fueled by the seemingly endless innovations, particularly in CMOS technology, which replaced bipolar junction transistors around the 1980s and since then has become the cornerstone of semiconductor technology. As discussed in Chapter 1, the continued device miniaturization is the primary driving force behind the phenomenal growth of semiconductor technology. The evolution of semiconductor technology can probably be best described by Moore's Law [3] from the business perspective and by Dennard's scaling theory [4] from the device physics perspective. Moore's Law calls for a new technology node every 18 to 24 months by doubling device density, equivalently halving the cost per transistor with the assumption that the wafer substrate and process costs per wafer remain constant. Device scaling theory provides the guidance on scaling the critical device dimensions, such as gate length, gate oxide thickness, junction depth, doping concentration, device width, as well as the operating voltage, VDD. The device scaling theory predicts 30% reduction in active power at constant performance from node to node. Historical data is in good agreement with the prediction of scaling, although deviations do exist in recent technology nodes. For example, Figure 3.1 shows the thermal design power (TDP) as a function of clock frequency for a family of Intel i7 chips with the same number of cores and cache density. Scaling the same architecture from 45 nm to 32 nm results in roughly a 30% reduction in power, consistent with the scaling theory.

Type
Chapter
Information
Publisher: Cambridge University Press
Print publication year: 2015

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

[1] Bardeen, J. and Brattain, W. H., “Physical principles involved in transistor action,” Phys. Rev., vol. 75, p. 1208, 1949.CrossRefGoogle Scholar
[2]Semiconductor Industry Association Global Sales Report [online]. Available at: http://www.semiconductors.org/industry_statistics/global_sales_report/.
[3] Moore, G. E., “Cramming more components onto integrated circuits,” Electronics, vol. 38, no. 8, pp. 82–85, 1965.Google Scholar
[4] Dennard, R. H., Gaensslen, F. H., Rideout, V. L., Bassous, E., and LeBlanc, A. R., “Design of ion-implanted MOSFET's with very small physical dimensions,” IEEE J. Solid-State Circuits, vol. 9, no. 5, 1974, pp. 668–678.CrossRefGoogle Scholar
[5] Auth, C., Cappellani, A., Chun, J.-S., et al., “45nm high-k + metal gate strain-enhanced transistors,” Symp. VLSI Tech., 2008, pp. 128–129.
[6] Auth, C., Allen, C., Blattner, A., et al., “A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors,” Symp. VLSI Tech., 2012, pp. 131–132.
[7] Leobandung, E., Barth, E., Sherony, M., et al., “High Performance 0.18 pm SOI CMOS Technology,” IEDM Tech. Dig., 1999, pp. 679–682.
[8] Wann, H., Ko, P. K., and Hu, C., “Gate-induced band-to-band tunneling leakage current in LDD MOSFETs,” IEDM Tech. Dig., 1992, pp. 147–150.
[9] Bhavnagarwala, A., Kosonocky, S., Radens, C., et al., “Fluctuation limits & scaling opportunities for CMOS SRAM cells,” IEDM Tech. Dig., 2005, pp. 659–662.
[10] Puri, R. and Chuang, C. T., “Hysteresis effect in pass-transistor based partially-depleted SOI CMOS circuits,” IEEE Int. SOI Conf., 1998, pp. 103–104.
[11] Choi, Y.-K., Asano, K., Lindert, N., et al., “Ultra-thin body SOI MOSFET for deep-sub-tenth micron era,” IEDM Tech. Dig., 1999, pp. 919–921.
[12] Noel, J.-P., Thomas, O., Jaud, M.-A., et al., “UTB-FDSOI device architecture dedicated to low power design techniques,” European Solid-State Device Research Conference (ESSDERC), 2010, pp. 210–213.
[13] Tsutsui, G., Saitoh, M., Hiramoto, T., “Experimental study on superior mobility in (110)-oriented UTB SOI pMOSFETs,” IEEE Electron Device Lett., vol. 26, no. 11, pp. 836–838, 2005.CrossRefGoogle Scholar
[14] Skotnicki, T., Hutchby, J. A., King, T.-J., Wong, H.-S., and Boeuf, F., “The end of CMOS scaling: toward the introduction of new materials and structural changes to improve MOSFET performance,” IEEE Circuits and Devices Magazine, vol. 21, no. 1, pp. 16–26, 2006.Google Scholar
[15] Kilchytska, V., Arshad, M. K. Md, Makovejev, S., et al., “Ultra-thin body and thin-BOX SOI CMOS technology analog figures of merit,” Solid-State Electronics, vol. 70, pp. 50–58, 2012.CrossRefGoogle Scholar
[16] Fenouillet-Beranger, C., Denormel, S., Icard, B., et al., “Fully-depleted SOI technology using high-K and single-metal gate for 32nm node LSTP applications featuring 0.179μm2 6T-SRAM bitcell,” IEDM Tech. Dig., 2007, pp. 267–270.
[17] Skotnicki, T., “Competitive SOC with UTBB SOI,” IEEE Int. SOI Conf., 2011, pp. 1–61.
[18] Liu, Q., Yagashita, A., Loubet, N., et al., “Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond,” Symp. VLSI Tech., 2010, pp. 61–62.
[19] Andrieu, F., Weber, O., Mazurier, J., et al., “Low leakage and low variability ultra-thin body and buried oxide (UT2B) SOI technology for 20nm low power CMOS and beyond,” Symp. VLSI Tech., 2010, pp. 57–58.
[20] Numata, T., Noguchi, M., Oowaki, Y., and Takagi, S., “Back gate engineering for suppression of threshold voltage fluctuation in fully-depleted SOI MOSFETs,” IEEE Int. SOI Conf., 2000, pp. 78–79.
[21] Sugii, N., Tsuchiya, R., Ishigaki, T., et al., “Comprehensive study on Vth variability in silicon on thin BOX (SOTB) CMOS with small random-dopant fluctuation: finding a way to further reduce variation,” IEDM Tech. Dig., 2008, pp. 1–4.
[22] Sugii, N., Tsuchiya, R., Ishigaki, T., et al., “Local Vth variability and scalability in silicon-on-thin-BOX (SOTB) CMOS with small random-dopant fluctuation,” IEEE Trans. Electron Devices, vol. 57, no. 4, pp. 835–845, 2010.CrossRefGoogle Scholar
[23] Doris, B., Ieong, M., Zhu, T., et al., “Device design considerations for ultra-thin SOI MOSFETs,” IEDM Tech. Dig., 2003, pp. 27.3.1–27.3.4.
[24] Nayfeh, H. M., Singh, D. V., Hergenrother, J. M., et al., “Effect of tensile uniaxial stress on the electron transport properties of deeply scaled FD-SOI n-Type MOSFETs,” IEEE Electron Device Lett., vol. 27, no. 4, pp. 288–290, 2006.CrossRefGoogle Scholar
[25] Schwarzenbach, W., Cauchy, X., Boedt, F., et al., “Excellent silicon thickness uniformity on ultra-thin SOI for controlling Vt variation of FDSOI,” IEEE Int. Conf. IC Design & Technology, 2011, pp. 1–3.
[26] Barral, V., Poiroux, T., Andrieu, F., et al., “Strained FDSOI CMOS technology scalability down to 2.5nm film thickness and 18nm gate length with a TiN/HfO2 gate stack,” IEDM Tech. Dig., 2007, pp. 61–64.
[27] Uchida, K., Watanabe, H., Kinoshita, A., Koga, J., Numata, T., and Takagi, S., “Experimental study on carrier transport mechanism in ultrathin-body SOI n- and p-MOSFETs with SOI thickness less than 5 nm,” IEDM Tech. Dig., 2002, pp. 47–50.
[28] Faynot, O., Andrieu, F., Weber, O., et al., “Planar fully depleted SOI technology: a powerful architecture for the 20nm node and beyond,” IEDM Tech. Dig., 2010, pp. 50–53.
[29] Fenouillet-Beranger, C., Perreau, P., Pham-Nguyen, L., et al., “Hybrid FDSOI/bulk high-k/metal gate platform for low power (LP) multimedia technology,” IEDM Tech. Dig., 2009, pp. 1–4.
[30] Majumdar, A., Wang, X., Kumar, A., et al., “Gate length and performance scaling of undoped-body extremely thin SOI MOSFETs,” IEEE Electron Device Lett., vol. 30, no. 4, pp. 413–415, 2009.CrossRefGoogle Scholar
[31] Majumdar, A., Ren, Z., Koester, S. J., and Haensch, W., “Undoped-body extremely thin SOI MOSFETs with back gates,” IEEE Trans. Electron Devices, vol. 56, no. 10, pp. 2270–2276, 2009.CrossRefGoogle Scholar
[32] Cheng, K., Khakifirooz, A., Kulkarni, P., et al., “Fully depleted extremely thin SOI technology fabricated by a novel integration scheme featuring implant-free, zero-silicon-loss, and faceted raised source/drain,” Symp. VLSI Tech., 2009, pp. 212–213.
[33] Khakifirooz, A., Cheng, K., Nagumo, T., et al., “Strain engineered extremely thin SOI (ETSOI) for high-performance CMOS,” Symp. VLSI Tech., 2012, pp. 117–118.
[34] Grenouillet, L., Vinet, M., Gimbert, J., et al., “UTBB FDSOI transistors with dual STI and shrinked back gate architecture for a multi-Vt strategy at 20nm node and below,” IEDM Tech. Dig., 2012, pp. 64–67.
[35] Chau, R., Kavalieros, J., Doyle, B., et al., “A 50nm depleted-substrate CMOS transistor (DST),” IEDM Tech. Dig., 2001, pp. 29.1.1–29.1.4.
[36] Ponoth, S., Vinet, M., Grenouillet, L., et al., “Implant approaches and challenges for 20nm node and beyond ETSOI devices,” IEEE Int. SOI Conf., 2011.
[37] Krivokapic, Z., Maszara, W., Arasnia, F., et al., “High performance 25nm FDSOI devices with extremely thin silicon channel,” Symp. VLSI Tech., 2003, pp. 131–132.
[38] Chen, H., Chang, C., Huang, C., et al., “Novel 20nm hybrid SOI/bulk CMOS technology with 0.183µm2 6T-SRAM cell by immersion lithography,” Symp. VLSI Tech., 2005, pp. 16–17.
[39] Fenouillet, C., Perreau, P., Denorme, S., et al., “Impact of a 10 nm ultrathin BOX (UTBOX) and ground plane on FDSOI devices for 32 nm node and below,” in Proc. ESSDERC, 2009, pp. 89–91.
[40] Fenouillet, C., Thomas, O., Perreau, P., et al., “Efficient multi-VT FDSOI technology with UTBOX for low power circuit design,” Symp. VLSI Tech., 2010, pp. 65–66.
[41] Skotnicki, T., Fenouillet-Beranger, C., Gallon, C., et al., “Innovative materials, devices, and CMOS technologies for low-power mobile multimedia,” IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 96–130, 2008.CrossRefGoogle Scholar
[42] Khakifirooz, A. and Antoniadis, D. A., “MOSFET performance scaling – Part 1: Historical trends,” IEEE Trans. Electron Devices., vol. 55, no. 6, pp. 1391–1400, 2008.Google Scholar
[43] Hu, H., Jacobs, J., Su, L., and Antoniadis, D. A., “A study of deep-submicron MOSFET scaling based on experiment and simulation,” IEEE Trans. Electron Devices, vol. 42, no. 4, pp. 669–677, 1995.Google Scholar
[44] Taur, Y. and Ning, T. H., Fundamentals of Modern VLSI Devices, Cambridge: Cambridge University Press, 2013.Google Scholar
[45] Khakifirooz, A., Cheng, K., Reznicek, A., et al., “Scalability of extremely thin SOI (ETSOI) MOSFETs to Sub-20-nm gate length,” IEEE Electron Device Lett., vol. 33, no. 2, pp. 149–151, 2012.CrossRefGoogle Scholar
[46] Esseni, D., Mastrapasqua, M., Celler, G. K., and Baumann, F. H., “Low field mobility of ultra-thin SOI N- and P-MOSFETs: Measurements and implications on the performance of ultra-short MOSFETs,” IEDM Tech. Dig., 2000, pp. 671–674.
[47] Ghani, T., Armstrong, M., Auth, C., et al., “A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors,” IEDM Tech. Dig., 2003, pp. 11.6.1–11.6.3.
[48] Lee, W-H., Waite, A., Nii, H., et al., “High performance 32nm SOI CMOS with high-k/metal gate and 0.149μm2 SRAM and ultra low-k back end with eleven levels of copper,” IEDM Tech. Dig., 2005, pp. 56–59.
[49] Narasimha, S., Chang, P., Ortolland, C., et al., “22nm high-performance SOI technology featuring dual-embedded stressors, epi-plate high-k deep-trench embedded DRAM and self-aligned via 15LM BEOL,” IEDM Tech. Dig., 2012, pp. 3.3.1–3.3.4.
[50] Leobandung, E., Nayakama, H., Mocuta, D., et al., “High performance 65 nm SOI technology with dual stress line and low capacitance SRAM cell,” Symp. VLSI Tech. Tech. Dig., 2005, pp. 126–127.
[51] Ota, K., Sugihara, K., Sayama, H., et al., “Novel locally strained channel technique for high performance 55 nm CMOS,” IEDM Tech. Dig., 2002, pp. 27–30.
[52] Lim, K.-Y., Lee, H., Ryu, C., et al., “Novel stress-memorization-technology (SMT) for high electron mobility enhancement of gate last high-k/metal gate devices,” IEDM Tech. Dig., 2010, pp. 10.1.1–10.1.4.
[53] Nainani, A., Gupta, S., Moroz, V., et al., “Is strain engineering scalable in FinFET era? Teaching the old dog some new tricks,” IEDM Tech. Dig., 2012, pp. 18.3.1–18.3.4.
[54] Natarajan, S., Armstrong, M., Bost, M., et al., “A 32nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171µm2 SRAM cell Size in a 291Mb array,” IEDM Tech. Dig., 2008, pp. 1–3.
[55] Tezuka, T., Sugiyama, N., Mizuno, T., and Takagi, S., “High-performance strained Si-on-insulator MOSFETs by novel fabrication processes utilizing Ge-condensation technique,” Symp. VLSI, 2002, pp. 96–97.
[56] People, R. and Bean, J. C., “Calculation of critical layer thickness versus lattice mismatch for GexSi1-x /Si strained layer heterostructures,” Applied Physics Letters, vol. 47, pp. 322–324, 1985.CrossRefGoogle Scholar
[57] Matthews, J. W. and Blakeslee, A. E., article title J. Cryst. Growth, vol. 27, pp. 118, 1974.
[58] Hu, S. M., “Misfit dislocations and critical thickness of heteroepitaxy,” Journal of Applied Physics, vol. 69, pp. 7901–7903, 1991.CrossRefGoogle Scholar
[59] Cheng, K., Khakifirooz, A., Loubet, N., et al., “High performance extremely thin SOI (ETSOI) hybrid CMOS with Si channel NFET and strained SiGe channel PFET,” IEDM Tech. Dig., 2012, pp.18.1.1–18.1. no.
[60] Khakifirooz, A., Cheng, K., Kulkarni, P., et al., “Challenges and opportunities of extremely thin SOI (ETSOI) CMOS technology for future low power and general purpose system-on-chip applications,” Int. Symp. VLSI-TSA, 2010, pp. 110–11. no.
[61]Press release “Soitec and Shin-Etsu Handotai announce Smart Cut™ licensing extension and expanded technology cooperation” [online]. Available at: http://www.soitec.com/en/news/press-releases/soitec-and-shin-etsu-handotai-announce-smart-cut-licensing-extension-and-expanded-technology-cooperation-1079/.
[62]“Ultra thin body and buried oxide substrate supply chain,” FDSOI Workshop at Kyoto, Japan, June 15th, 2013 [online]. Available at: http://www.soiconsortium.org/fully-depleted-soi/presentations/june-2013/Nobuhiko%20Noto%20-%20UTBB%20Wafer_SEH_June2013.pdf.
[63]Press release “Soitec and SunEdison enter into patent license agreement” [online]. Available at: http://www.soitec.com/en/news/press-releases/soitec-and-sunedison-enter-into-patent-license-agreement-1390/.
[64] Northrop, G., “Design technology co-optimization in technology definition for 22nm and beyond,” Symp. VLSI Tech., 2011, pp. 112–113.
[65] Morita, M., Ohmi, T., Hasegawa, E., Kawakami, M., and Ohwada, M., “Growth of native oxide on a silicon surface,” Journal of Applied Physics, vol. 68, pp. 1272–1281, 1990.CrossRefGoogle Scholar
[66] Chen, Y., He, Y., Liu, H., Yu, G., Liu, J., Hong, Z., Ni, J. and Wu, J., “Effect of the interfacial SiO2 layer on high-k gate stacks,” ECS Trans., vol. 52, no. 1, pp. 657–663, 2013.CrossRefGoogle Scholar
[67] Kern, W., “The evolution of silicon wafer cleaning technology,” Journal of the Electrochemical Society, vol. 137, no. 6, pp. 1887–1892, 1990 and references therein.CrossRefGoogle Scholar
[68] Cheng, K., Khakifirooz, A., Kulkarni, P., et al., “Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applications,” IEDM Tech. Dig., 2009, pp. 49–52.
[69] Seo, K.-I., Haran, B., Gupta, D., et al., “A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI,” VLSI Symp., 2014, pp. 1–2.
[70] Lin, C-H., Greene, B., Narasimha, S., et al., “High performance 14nm SOI FinFET CMOS technology with 0.0174μm2 embedded DRAM and 15 levels of Cu metallization,” IEDM Tech. Dig., 2014, pp. 74–76.
[71] Kube, M., Hori, R., Minato, O., and Sato, K., “A threshold voltage controlling circuit for short channel MOS integrated circuits,” IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 1976, p. 54.
[72] Chen, J. Y., “GPU technology trends and future requirements,” IEDM Tech. Dig., 2009, p. 3.
[73] Nomura, S., Tachibana, F., Fujita, T., et al., “A 9.7mW AAC-decoding, 620mW H.264 720p 60fps decoding, 8-core media processor with embedded forward-body-biasing and power-gating circuit in 65nm CMOS technology,” IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2008, p. 262.
[74] Sumita, M., Sakiyama, S., Kinoshita, M., Araki, Y., Ikeda, Y., and Fukuoka, K., “Mixed body-bias technique with fixed Vt and Ids generation circuits,” IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2004, p. 158.
[75] Thompson, S., Young, I., Greason, J., and Bohr, M., “Dual threshold voltage and substrate bias: Keys to high performance, low power, 0.1 µm logic designs,” Symp. VLSI Tech. 1997, p. 69.
[76] Jacquet, D., Hasbani, F., Flatresse, P., et al., “A 3 GHz dual core processor ARM CortexTM-A9 in 28 nm UTBB FD-SOI CMOS with ultra-wide voltage range and energy efficiency optimization,” IEEE JSSC, p. 812, 2014.
[77] Wilson, R., Beigne, E., Flatresse, P., et al., “A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding FMAX tracking,” IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2014, pp. 452–453.
[78] Yamamoto, Y., Makiyama, H., Shinohara, H., et al., “Ultralow-voltage operation of Silicon-on-Thin-BOX (SOTB) 2Mbit SRAM down to 0.37 V utilizing adaptive back bias,” Symp. VLSI Tech., 2013, p. 212.
[79] Khakifirooz, A., Cheng, K., Jagannathan, B., et al., “Fully depleted extremely thin SOI for mainstream 20nm low-power technology and beyond,” IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2010, pp. 152–153.
[80] Seo, S.-C., Edge, L. F., Kanakasabapathy, S., et al., “Full Metal Gate with Borderless Contact for 14 nm and Beyond,” Symp. VLSI Tech., 2011, pp. 36–37.
[81] Liu, Q., DeSalvo, B., Morin, P., et al., “FDSOI CMOS devices featuring dual strained channel and thin BOX extendable to the 10nm node,” IEDM Tech. Dig., 2014, pp. 219–222.
[82] Kamohara, S., Sugii, N., Yamamoto, Y., et al., “Ultralow-voltage design and technology of silicon-on-thin-buried-oxide (SOTB) CMOS for highly energy efficient electronics in IoT era,” Symp. VLSI Tech., 2014.

Save book to Kindle

To save this book to your Kindle, first ensure coreplatform@cambridge.org is added to your Approved Personal Document E-mail List under your Personal Document Settings on the Manage Your Content and Devices page of your Amazon account. Then enter the ‘name’ part of your Kindle email address below. Find out more about saving to your Kindle.

Note you can select to save to either the @free.kindle.com or @kindle.com variations. ‘@free.kindle.com’ emails are free but can only be saved to your device when it is connected to wi-fi. ‘@kindle.com’ emails can be delivered even when you are not connected to wi-fi, but note that service fees apply.

Find out more about the Kindle Personal Document Service.

Available formats
×

Save book to Dropbox

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Dropbox.

Available formats
×

Save book to Google Drive

To save content items to your account, please confirm that you agree to abide by our usage policies. If this is the first time you use this feature, you will be asked to authorise Cambridge Core to connect with your account. Find out more about saving content to Google Drive.

Available formats
×