Hostname: page-component-8448b6f56d-jr42d Total loading time: 0 Render date: 2024-04-24T10:06:32.048Z Has data issue: false hasContentIssue false

Recent advances in the understanding of high-k dielectric materials deposited by atomic layer deposition for dynamic random-access memory capacitor applications

Published online by Cambridge University Press:  08 November 2019

Woojin Jeon*
Affiliation:
Department of Advanced Materials Engineering for Information and Electronics, Kyung Hee University, Yongin 17104, Republic of Korea
*
a)Address all correspondence to this author. e-mail: woojin.jeon@khu.ac.kr

Abstract

Capacitors represent the largest obstacle to dynamic random-access memory (DRAM) technology evolution because the capacitor properties govern the overall operational characteristics of DRAM devices. Moreover, only the atomic layer deposition (ALD) technique is used for the dielectric and electrode because of its extreme geometry. Various high-k materials deposited by ALD have been investigated for further scaling. Whereas past investigations focused on increasing the physical thickness of the dielectric to suppress leakage current, the physical thickness of the dielectric should also be limited to a few nanometers in design rules less than 1×-nm. Therefore, a new way to overcome the limitations of traditional approaches based on thorough understanding of high-k materials is highly recommended to enhance the properties of conventional materials and provide directions for developing new materials. In this review, previously reported results are discussed, and suggestions are made for further investigations for DRAM capacitor applications.

Type
REVIEW
Copyright
Copyright © Materials Research Society 2019

Introduction

Dynamic random-access memory (DRAM) device is the most important device among semiconductor memory devices because of its wide range of applications in the IT industry, which include PCs, servers, and mobile phones. The necessity and importance of DRAM have increased because of the tremendous amount of data to be processed in the big data industry (which is one of the most important industries in the fourth industrial revolution) and the increased demand for various electronic devices [Reference Hwang1]. In this regard, the market for DRAM has increased dramatically in the past decades, and this increase has reached unprecedented levels in recent years. As a consequence, research about DRAM devices has attracted a lot of attention from academia and industry. Because the capacitor primarily governs operational characteristics of the DRAM device, most research on DRAM has focused on implementing performance enhancements in the DRAM capacitor. The DRAM capacitor, which consists of a metal–insulator–metal (MIM) structure, requires low leakage current density and high capacitance density for robust operations (e.g., read, write, and refresh). In the early stages of development, decreasing the dielectric thickness or increasing the capacitance area by changing the structure of the MIM capacitor could be used to meet the specifications of DRAM capacitors [Reference Kotecki2, Reference Scott3]. However, using dielectric materials with a high dielectric constant (k) was inevitable in the era of design rules beyond sub-100 nm [Reference Kim and Popovici4, Reference Wilk, Wallace and Anthony5]. High-k materials allow the same capacitance density as a thicker physical thickness, which can effectively suppress the leakage current through tunneling mechanisms. However, additional problems have emerged when using high-k materials. First, other leakage conduction mechanisms offset the leakage current reduction effect observed when using the high-k dielectric [Reference Jegert, Kersch, Weinreich and Lugli6, Reference Robertson and Wallace7, Reference Zhu, Low, Lee, Wang, Farmer, Kong, Xia and Avouris8, Reference Baniecki, Shioga, Kurihara and Kamehara9]. These mechanisms originate from large defect densities or narrow band gaps and include trap-assisted tunneling (TAT), Poole–Frenkel (P–F) emission, Schottky emission, or Fowler–Nordheim (F–N) tunneling. Moreover, further scaling beyond sub-10 nm causes new limitations in the physical thickness of dielectrics when designing MIM structures as thin as possible [Reference Kim and Popovici4]. Ironically, the high-k material was originally introduced to realize a higher physical thickness [Reference Robertson10]. As a consequence, the requirements of high-k materials for next-generation DRAM capacitor applications include both conventional goals (e.g., low leakage current and high capacitance density) and the thinnest possible physical thickness.

Leakage current in the metal–insulator–metal structure with high-k materials

Leakage current through a dielectric layer in MIM capacitors results in severe degradation in DRAM device operation because data in a DRAM unit cell is stored by charging carriers in the MIM capacitor. In this regard, leakage current reduction is a key issue in DRAM device investigations, and this is the reason why high-k materials have been introduced as an insulator in the MIM capacitor. However, MIM capacitors with high-k materials have suffered from leakage currents because of the weak dielectric strength of high-k materials (Fig. 1) [Reference Yim, Yong, Lee, Lee, Nahm, Yoo, Lee, Hwang and Han11, Reference Khan, Kim, Taniguchi, Ebina, Sasaki and Osada12]. Whereas direct tunneling should be considered as an only carrier conduction mechanism in the case of using SiO2, several such mechanisms could contribute to leakage current when using high-k materials, resulting in weak dielectric strength of high-k materials. Figure 2 depicts the carrier conduction mechanisms available in ZrO2 as an example of high-k materials [Reference Jegert, Kersch, Weinreich and Lugli6]. As shown in Fig. 2, the carrier conduction mechanisms are related to defects (e.g., TAT and P–F emission) or low conduction band offsets (CBOs) (e.g., Schottky emission and F–N tunneling). In this regard, these mechanisms can be classified into two categories: bulk-related or interface-related leakage current conduction.

Figure 1: Band gap versus dielectric constant of various high-k materials. [Reprinted with permission from Ref. Reference Yim, Yong, Lee, Lee, Nahm, Yoo, Lee, Hwang and Han11 under CC BY-NC-SA 4.0 license. Copyright (2015) Springer Nature.]

Figure 2: Various leakage current conduction mechanisms on high-k material. [Reprinted with permission from Ref. Reference Jegert, Kersch, Weinreich and Lugli6. Copyright (2010) IEEE.]

Bulk-related leakage current conduction

ZrO2 and HfO2 have been extensively studied as high-k materials for replacing classical SiO2 and SiON insulators because of their relatively high k value (>20), wide band gap (E g) of 5.5–6.0 eV, and well-established atomic layer deposition (ALD) processes [Reference Liu, Ramanathan, Longdergan, Srivastava, Lee, Seidel, Barton, Pang and Gordon13, Reference Ponraj, Attolini and Bosi14, Reference Johnson, Hultqvist and Bent15, Reference George16, Reference Leskelä and Ritala17, Reference Richter, Schenk, Schroeder and Mikolajick18, Reference Kim, Park, Kim, Cho, Jung, Lee and Hwang19, Reference Schroeder, Jakschik, Erben, Avellan, Kudelka, Kerber, Link and Kersch20]. Although ZrO2 and HfO2 share many common properties, ZrO2 has been favored for DRAM capacitor applications because it can obtain a higher k phase crystal structure, tetragonal or cubic phase, in the as-deposited state [Reference Yoo, Jeon, Lee, An, Kim and Hwang21]. The k values of HfO2 and ZrO2 depend on the crystal structure, i.e., monoclinic, and tetragonal or cubic [Reference Zhao and Vanderbilt22, Reference Zhao and Vanderbilt23]. Because tetragonal or cubic phases are thermodynamically stable at much higher temperatures (about 900 °C) at atmospheric pressure, the films deposited by ALD techniques generally have a monoclinic phase crystal structure [Reference Jung, Jang, Cho, Jeon, Kim, Lee and Hwang24, Reference Lee, Jeon, Cho, Lee, Jeong, Park and Park25, Reference Lee, Yu, Lee and Hwang26]. Transition of the monoclinic phase to metastable phases and the accompanying increase in k values are quite difficult (results related to this will be discussed in section “Dopant-mediated crystallinity enhancement”). Fortunately, ZrO2 deposited by the ALD technique exhibits a higher k phase (tetragonal) in the as-deposited state, and further crystallization into the tetragonal phase is easily demonstrated by thermal treatment [Reference Yoo, Jeon, Lee, An, Kim and Hwang21].

The carrier conduction mechanisms in ZrO2 have been investigated to determine an effective way of reducing leakage current (Fig. 3) [Reference Weinreich, Shariq, Seidel, Sundqvist, Paskaleva, Lemberger and Bauer27]. As shown in Fig. 3, there is a characteristic difference in the carrier conduction mechanism between ZrO2 and classical SiO2 and SiON insulators. First, current conduction by direct tunneling is not observed, and contributions from Schottky emission are not significant. These are attributed to the increased physical thickness and the relatively high E g of ZrO2. The E g values of ZrO2 are 5.5–6.0 eV, which are smaller than those of classical insulators. However, they are sufficient to create a conduction band offset (CBO) of ∼2 eV with a metal electrode (typically TiN or W) [Reference Weinreich, Shariq, Seidel, Sundqvist, Paskaleva, Lemberger and Bauer27, Reference Lee, Chang, Kim, Lim, Jeon and Seo28, Reference Lee, Chang, Choi, Kim, Lim, Jeon and Seo29, Reference Lee, Kim, McIntyre, Saraswat and Byun30, Reference Knebel, Schroeder, Zhou, Mikolajick and Krautheim31, Reference Jegert, Kersch, Weinreich and Lugli32], resulting in suppression of leakage current by Schottky emission. Consequently, carrier conduction in ZrO2 is mediated by TAT (or temperature-activated TAT, T-TAT), P–F, and F–N mechanisms in low, middle, and high electric field (E-field) regions, respectively. These mechanisms are not related to the interface between the insulator and electrode but strongly depend on the bulk properties of the insulator [Reference Jegert, Popescu, Lugli, Häufel, Weinreich and Kersch33] defects such as oxygen vacancies (V O) [Reference Jeon, Kim, An, Hwang, Gonon and Vallee34], grain boundaries [Reference Weinreich, Shariq, Seidel, Sundqvist, Paskaleva, Lemberger and Bauer27, Reference Martin, Grube, Weinreich, Müller, Weber, Schröder, Riechert and Mikolajick35], and impurities [Reference Wang36]. Grain boundaries were assumed to be the dominant carrier conduction paths. Moreover, ZrO2 thin films deposited using the ALD technique tend to have a columnar structure in the direction of film growth [Reference Kim and Hwang37, Reference Cho, Kim, Park, Lee, Park, Jang, Lee, Kim, Ki, Han and Song38, Reference Lee, Choi, Hande, Kim, Wallace, Kim, Senzaki, Shenai, Li, Rousseau and Suydam39]; this is the same as the electron drift direction in the applied E-field. Martin et al. reported the results of carrier conduction in pristine ZrO2 thin films observed by conductive atomic force microscopy (C-AFM) measurements [Reference Martin, Grube, Weinreich, Müller, Weber, Schröder, Riechert and Mikolajick35]. Here, the “trench” on the film surface, which is formed by interconnected grain boundaries, coincides with the location of carrier conduction [Fig. 4(A)], illustrating the role of the grain boundary in carrier conduction. Consequently, various ways of engineering the grain boundary structure in the film have been suggested to suppress the leakage current of ZrO2. Creating a tortuous carrier conduction path by reducing the grain size would reduce leakage current. But basic approaches such as interrupting the path by inserting an amorphous layer in the middle of the ZrO2 thin film or eliminating the grain boundary by inducing an amorphous phase in the ZrO2 itself would be more efficient for reducing leakage current. Accordingly, the ZrO2/Al2O3/ZrO2 (ZAZ) stacked structure, which was designed to reduce the path by integrating amorphous Al2O3 in the middle of ZrO2, was investigated in 2006 [Reference Cho, Kim, Park, Lee, Park, Jang, Lee, Kim, Chae, Ki, Han and Song40]. Indeed, this structure demonstrated excellent properties for DRAM devices with a 45 nm design rule. Al2O3 was inserted into the middle of the ZrO2 layer at a certain thickness (more than 0.5 nm) as a distinctive layer [TEM images in the insets of Figs. 4(B) and 4(C)], resulting in suppression of excessive grain growth during crystallization of ZrO2 as inferred from a dramatically reduced roughness of the ZAZ film [Fig. 4(B)] [Reference Kil, Song, Lee, Hong, Kim, Park, Yeom, Roh, Kwak, Sohn, Kim and Park41]. Therefore, the leakage current of an MIM capacitor with a ZAZ insulator was significantly reduced compared with that of a ZrO2 insulator in an applied bias range of −2 to +1.5 V [Fig. 4(D)] [Reference Kil, Song, Lee, Hong, Kim, Park, Yeom, Roh, Kwak, Sohn, Kim and Park41]. Indeed, a conductive atomic force microscopic (c-AFM) image of the ZAZ film [Fig. 4(A)] showed that the number of carrier conduction paths significantly decreased [Reference Martin, Grube, Weinreich, Müller, Weber, Schröder, Riechert and Mikolajick35]. Additionally, the range of applied bias with reduced leakage current was consistent with the range in which leakage current conduction of ZrO2 was governed by trap-related carrier conduction mechanisms (TAT and P–F) (Fig. 3). This implies that Al2O3 (with distinctive layer formation) can act as a carrier conduction barrier because of its relatively thick band gap of 9.0 eV [Fig. 5(a)] [Reference Knebel, Schroeder, Zhou, Mikolajick and Krautheim31]. Generally, an Al2O3 layer has been used as a barrier to carrier conduction by the CBO-related leakage currents (Schottky emission or F–N tunneling). In this regard, the location of the Al2O3 inserted to reduce leakage current tends to be at the interface between the metal electrode and the insulator. However, as shown in the ZrO2 case, inserting Al2O3 at the interface is not always the most effective way of using Al2O3 for the leakage current reduction. The leakage current asymmetry in HfO2/Al2O3/HfO2 was demonstrated with respect to the location of the inserted Al2O3 layer [Reference Jeon, Salicio, Chaker, Gonon and Vallee42]. A 1.2 nm thick Al2O3 layer was inserted at various locations in the 5 nm thick HfO2 film. The current density decreased with the Al2O3 layer distance from the electron injection electrode (i.e., cathode), rather than at the interface between the electrode and HfO2 layer. Also, the work function of the electrode did not affect the asymmetry of MIM diodes. Indeed, the change in CBO with the location of the inserted Al2O3 is opposite that of the leakage current behavior [Figs. 5(b) and 5(c)]. This result implies that carrier conduction in the HfO2 film is governed by a bulk-related conduction mechanism, i.e., P–F emission. Furthermore, the Al2O3 layer provides a barrier to bulk conduction. Thus, inserting an Al2O3 layer in the middle of the insulator is a more favorable way to interrupt carrier conduction through the conduction band for a bulk conduction-dominant insulator. As shown in the schematic energy band diagram [Figs. 5(d) and 5(e)], conduction of detrapped carriers in the conduction band from the trap site of the insulator, i.e., the carrier produced by the P–F emission mechanism, was blocked by the inserted Al2O3 layer. The leakage current reduction caused by insertion of the Al2O3 layer is strongly influenced by the carrier conduction mechanism of the insulator. In other words, the method of using the Al2O3 layer for reducing leakage current should be changed depending on the carrier conduction mechanism of the insulator.

Figure 3: Dominant leakage current conduction mechanism depicted on JE curves of the 7.2 nm thick ZrO2 thin film. [Reprinted with permission from Ref. Reference Weinreich, Shariq, Seidel, Sundqvist, Paskaleva, Lemberger and Bauer27. Copyright (2013) AIP Publishing.]

Figure 4: (A) AFM and c-AFM image of ZrO2 and ZAZ thin films. [Reprinted with permission from Ref. Reference Martin, Grube, Weinreich, Müller, Weber, Schröder, Riechert and Mikolajick35. Copyright (2013) AIP Publishing.] (B) Roughness of the ZAZ thin film with respect to the deposition cycle of Al2O3 (inset) cross section TEM image of the ZAZ thin film. (C) Cross section TEM image of the TiN/ZAZ/TiN DRAM capacitor structure. (D) IV curves of MIM capacitors using ZrO2 and ZAZ as an insulator layer. [Reprinted with permission from Ref. Reference Kil, Song, Lee, Hong, Kim, Park, Yeom, Roh, Kwak, Sohn, Kim and Park41. Copyright (2006) IEEE.]

Figure 5: (a) Simulated energy-band diagram of a 7.5 nm TiN/ZrO2/Al2O3/ZrO2/TiO2/TiN stack, showing schematically Poole–Frenkel emission and Schottky-emission. [Reprinted with permission from Ref. Reference Knebel, Schroeder, Zhou, Mikolajick and Krautheim31. Copyright (2014) IEEE.] (b) JV curves and (c) the CBO of (bottom) TiN/HfO2–Al2O3–HfO2/Ti (top) with various Al2O3 inserting location (inset) valence band spectra of the HfO2–Al2O3–HfO2 films on TiN (left-bottom). [Reprinted with permission from Ref. Reference Jeon, Salicio, Chaker, Gonon and Vallee42. Copyright (2019) IEEE.] (d) and (e) Schematic energy band diagram of TiN/HfO2–Al2O3–HfO2/Ti capacitors.

ZrO2 and HfO2 insulators are dominated by bulk-limited leakage conduction; therefore, using a metal electrode with a higher work-function does not result in any significant improvement in leakage current. An et al. reported that the leakage current of an MIM capacitor with a ZAZ film was successfully reduced by using a Ru electrode (a noble metal electrode) rather than a TiN electrode [Reference An, Lee, Kim, Cho, Kim, Kwon, Cho, Cha, Lim, Jeon and Hwang43]. However, it should be noted that the leakage current reduction did not originate from the relatively higher work-function of the Ru electrode than that of TiN. When the top electrode was changed from TiN to Ru, the leakage current density due to electron injection from the bottom electrode decreased significantly compared with that of the TiN top electrode sample only in the specific electric field region of 1–2 MV/cm, even though the bottom electrode was the same (TiN for both Ru and TiN top electrodes) [Fig. 6(a)]. This unprecedented behavior was due to the change in oxygen vacancy density in the ZAZ film, which induces P–F emission through the reaction with active oxygen during Ru top electrode fabrication. The same behavior was observed in comparison with TiN, RuO2, and Pt metal used as a top electrode for TiN/ZAZ MIM capacitors [Reference Jeon, Kim, An, Hwang, Gonon and Vallee34]. As shown in Fig. 6(b), the leakage current density caused by electrons injected from the bottom electrode was changed with respect to the used top electrode materials, even for the same TiN bottom electrode. Moreover, the MIM capacitor with a Pt top electrode exhibited a higher leakage current density in a specific electric field region (where the P–F emission governs the leakage current density) than that of the RuO2 top electrode sample, even though the work-function value of RuO2 is lower than that of Pt. This result also indicates that adapting a treatment for reducing defects in the bulk is an effective way to reduce the leakage current of ZrO2 or HfO2 due to grain boundaries or oxygen vacancies, rather than for changing the barrier height at the interface.

Figure 6: (a) JE characteristics of the MIM capacitors with different top electrodes (inset): JE characteristics of the MIM capacitors with the (opened) Pt top electrode and (closed) Pt top electrode and using O3 treatment. [Reprinted with permission from Ref. Reference An, Lee, Kim, Cho, Kim, Kwon, Cho, Cha, Lim, Jeon and Hwang43. Copyright (2019) John Wiley and Sons] (b) JV curves of MIM structures consisted of TiN for the bottom electrode, 5 nm thick ZAZ for the insulator, and TiN (blue), RuO2 (green), and Pt (red) for the top electrode, respectively. [Reprinted with permission from Ref. Reference Jeon, Kim, An, Hwang, Gonon and Vallee34. Copyright (2018) IEEE.] (c) Leakage current density measured at the top electrode (J TE) in dependence of the CET at 0 V of MIM capacitors with TiN electrodes and as deposited and annealed (PDA at 650 °C for 20 s in N2) ZSZ, and ZAZ films as the dielectric. The included numbers reveal the nominal layer thicknesses. [Reprinted with permission from Ref. Reference Weinreich, Shariq, Seidel, Sundqvist, Paskaleva, Lemberger and Bauer27. Copyright (2013) AIP Publishing.] (d) Variations in J as a function of t ox at a +0.8 V applied voltage. The solid lines were added for eye guidance. [Reprinted with permission from Ref. Reference An, Lee, Kim, Cho, Kim, Kwon, Cho, Cha, Lim, Jeon and Hwang43. Copyright (2019) John Wiley and Sons.]

Usually, the contribution of the interface increases with decreasing film thickness as the ratio of the interface increases. However, the contribution of the bulk-related terms to the leakage current paradoxically increased for MIM capacitors with ZrO2 or HfO2 as the physical thickness of the insulator decreased. The asymmetry in leakage current with respect to the location of the inserted Al2O3 layer [Fig. 6(c)] was not observed when the total film thickness was increased to 9 nm [Reference Weinreich, Shariq, Seidel, Sundqvist, Paskaleva, Lemberger and Bauer27]. As shown in Fig. 6(d), a reduction in leakage current was only exhibited for a ZAZ film thickness of 4.6 nm [Reference An, Lee, Kim, Cho, Kim, Kwon, Cho, Cha, Lim, Jeon and Hwang43]. These results imply that engineering grains or defects are becoming more important. Additionally, as the design rule decreases, the total thickness of the ZAZ film decreases, meaning that it is impossible to insert an Al2O3 layer as a distinctive layer. The number of ALD cycles of Al2O3 in a ZAZ film is less than 4–5 cycles. Thus, it should be considered as a dopant rather than a distinctive layer. Thus, the Al2O3 in ZAZ cannot block the carrier conduction path in ZrO2. However, the ZAZ film with dopant level Al2O3 concentration provides an adequate leakage current level for DRAM capacitor applications. This indicates that even a small amount of Al2O3 can successfully suppress carrier conduction in ZrO2. The reason for this is related to crystallization. Crystallization occurs through stages of nucleus formation and coalescence. In the thin film process, the nucleus generally formed on the substrate surface in the very early stages, followed by coalescence during film deposition, resulting in deposited films with a columnar structure [Reference Tapily, Gu, Baumgart, Namkoong, Stegall and Elmustafa44, Reference Hornsveld, Put, Kessels, Vereecken and Creatore45]. This columnar structure forms a grain boundary along the thickness direction of the thin film, which coincides with the direction of the applied electric field, making it vulnerable to leakage current. The effective leakage current suppression by the inserted Al2O3 layer in the ZrO2 layer is actually related to intersections in the grain boundary along the thickness direction. However, when Al2O3 was used as a dopant, it inhibited coalescence of the dielectric, resulting in a smaller grain crystal structure of the matrix dielectric. In this regard, a “mesocrystalline” structure was suggested for reducing leakage current [Reference Lee, Jeon, Cho, Lee, Jeong, Park and Park25, Reference Choi, Sheng, Jeong, Park, Kim and Jeon46]. The mesocrystalline structure consisted of partially crystallized grains incorporated in an amorphous matrix. This structure helps enhance the dielectric constant and reduce leakage current by partially crystallized grains in the remaining amorphous matrix, which eliminate the grain boundaries and their network, respectively [Reference Lee, Jeon, Cho, Lee, Jeong, Park and Park25]. This structure shows that the dopant (Al2O3 in this case) cannot hinder nucleation but can suppress coalescence. The ZrO2 thin film can form nuclei during the deposition process. This is followed by coalescence of nuclei during the continued deposition process, resulting in enhanced crystallinity with increasing thin film thickness. However, when dopants such as Al2O3 are added in the ZrO2 thin film, the grain size is reduced compared with that of the pristine ZrO2. Dopants in ZrO2 or HfO2 also operate by forming a winding conduction path (by reducing the grain size or eliminating the grain boundary) by inducing an amorphous phase. In this role, the relatively higher band gap of Al2O3 (9 eV) does not contribute to reduce the leakage current. This result is contrary to the overall intention of using the Al2O3 thin film for reducing leakage current. Indeed, the total thickness of the dielectric is thick enough to use a distinctive layer of Al2O3, the band gap of which played a significant role in reducing the leakage current. However, as the design rule has decreased, the physical shape of Al2O3 in the dielectric changed from a layer to a dopant, and the resulting role of Al2O3 in reducing leakage current has changed, even though the community may not be aware of it. Consequently, there is no reason to continue to use Al2O3 in the era of dielectric physical thickness less than 5 nm. Based on this new finding about the role of Al2O3, we can now find alternatives for achieving better performance with ZrO2. This is the motivation for determining the exact mechanisms of carrier conduction in dielectrics before developing new dielectric materials or processes.

Interface-related leakage current conduction

A critical trade-off relationship in high-k dielectrics is that increasing the dielectric constant results in a decreased band gap, and all research works have suffered from this (Fig. 1). As a consequence, the CBO, i.e., the barrier height between the metal electrode and dielectric, decreased with the increasing dielectric constant, resulting in a decrease in dielectric strength. In other words, the contribution of interface-related leakage current conduction to overall leakage conduction in the dielectric increases when using higher-k dielectric thin films. This implies that the approach using a higher k dielectric for reducing leakage (such as ZrO2 and HfO2) does not result in any significant leakage current reduction for high-k dielectrics.

ZAZ-like approach for TiO2

TiO2 is one of the most extensively studied high-k materials for dielectric materials in DRAM capacitors because of its higher dielectric constant of ∼40 or ∼100 for anatase and rutile crystal structures, respectively [Reference Kim, Kim, Jung and Hong47]. On the other hand, the band gap of TiO2 is quite narrow (3.3 and 3.0 eV for anatase and rutile phase, respectively) [Reference Tang, Prasad, Sanjinès, Schmid and Lévy48, Reference Di Mo and Ching49]. Thus, TiO2 has shown poor results for several decades because of its severe leakage current problem. Meanwhile, the ZAZ structure was the most successful example among the various suggested attempts for reducing leakage current of high-k dielectrics. The ZAZ-like approach for reducing leakage current is summarized by these two strategies: (i) cutting the leakage path by inserting an Al2O3 layer with fully crystallized ZrO2 or (ii) minimizing grain size or forming amorphous ZrO2 by using Al2O3 as a dopant. In this regard, the ZAZ-like approach has been demonstrated on TiO2. Jeon et al. prepared various structures of TiO2 and Al2O3 by changing the crystal structure of TiO2 and position of Al2O3 (i.e., layer of the dopant) [Reference Jeon, Chung, Joo and Kang50].

First, the electrical properties of a TiO2–Al2O3 mixed (Al-doped TiO2) and laminated (TiO2–Al2O3–TiO2) film structure were investigated for suppressing crystallization of TiO2 by inserting an Al2O3 layer. In case of the mixed structure, an Al2O3 layer thickness greater than 10 nm can effectively suppress crystallization of TiO2, resulting in a dramatic reduction in leakage current density from ∼10−3 to ∼10−7 A/cm2 [Fig. 7(a)]. However, the dielectric constant also significantly decreased below 30, which is comparable with that of ZrO2 and HfO2, because of severe crystallinity degradation. This makes it difficult to find any reason for using TiO2 instead of ZrO2 or HfO2. Most of all, the difference in k values between the crystalline phase and amorphous phase increased for dielectrics with a high-k value. The laminated film structure also exhibited only a dielectric constant under 50 [Fig. 7(b)]. Therefore, the ZAZ-like approach resulted in more disadvantages than advantages in the case of TiO2. Moreover, the electrical properties of the TiO2–Al2O3 mixed film structure (i.e., using Al2O3 as a dopant) should be emphasized. In the case of ZrO2, merely doping with Al2O3 can suppress the leakage current effectively. By contrast, when Al2O3 was doped in TiO2 with a same level of Al concentration in ZrO2 cases, no enhancement in leakage current was observed. The Al2O3 dopant in TiO2 would also suppress coalescence of grains of TiO2, which might suppress carrier conduction through the grain boundary, i.e., bulk-related leakage current. However, the lack of enhancement in leakage current by the Al2O3 dopant implies that the dominant carrier conduction mechanism of TiO2 is not related to the bulk.

Figure 7: (a) Dielectric constant and leakage current of TiO2/Al2O3 mixed films. The TiO2 sublayer thickness is 40 Å, and the Al2O3 sublayer thickness is 5, 10, and 20 Å, respectively. (b) Dielectric constant and leakage current of anatase-TiO2/Al2O3/anatase-TiO2 films. The TiO2 sublayer thickness is 40 Å, and the Al2O3 sublayer thickness is 10, 12.5, 15, and 20 Å, respectively. [Reprinted with permission from Ref. Reference Jeon, Chung, Joo and Kang50. Copyright (2008) The Electrochemical Society.]

Al-doped TiO2

Kim et al. reported an exceptional result related to leakage current reduction in TiO2 thin films [Reference Kim, Choi, Lee, Seo, Lee, Han, Ahn, Han and Hwang51]. The leakage current of a rutile phase TiO2 thin film obtained using a Ru bottom electrode and an O3 source for the TiO2 ALD process decreased by about 105–106 times with a few at.% of Al doping [Fig. 8(a)]. Finally, a minimum equivalent oxide thickness of 0.5 nm was achieved in this Al-doped TiO2 (ATO) thin film because of the significant contribution of appropriate Al doping, which reduced leakage current by ∼105 times. The dielectric constant for this ATO thin film was ∼60, which was significantly smaller than the expected ∼100 for a rutile phase because of the decrease in crystallinity from the Al dopant. The tremendous leakage current reduction in this report was likely because Al doping increased the CBO to its ideal value via the acceptor-like characteristics of the Al ions in the TiO2 [Reference Deák, Aradi and Frauenheim52, Reference Jeon, Rha, Lee, Yoo, An, Jung, Kim and Hwang53]. Moreover, the leakage current reduction observed when using a higher work-function electrode also indicates that the overall leakage current density of ATO dominantly depends on the CBO [Figs. 8(b)–8(d)] [Reference Han, Han, Lee, Lee, Kim, Gatineau, Dussarrat and Hwang54]. According to this suggested mechanism that only focuses on the interface, there is no reason to homogeneously dope the Al throughout the whole thin film. Consequently, evaluation of the leakage current reduction mechanism in the rutile TiO2 was performed by changing the location of the Al doping in the dielectric film.

Figure 8: (a) J @ 0.8 V versus t ox for the TiO2 and Al-doped TiO2 thin films with various subcycle ratio. [Reprinted with permission from Ref. Reference Kim, Choi, Lee, Seo, Lee, Han, Ahn, Han and Hwang51. Copyright (2008) John Wiley and Sons.] (b) The negative and (c) positive JV characteristics of the Pt/TiO2/RuO2 capacitor with elevating measurement temperature from 318 to 368 K (inset in b and c). (d) Change in the leakage current density of the Pt/ATO/RuO2 (or Ru) capacitors as a function of the applied bias voltage. [Reprinted with permission from Ref. Reference Han, Han, Lee, Lee, Kim, Gatineau, Dussarrat and Hwang54. Copyright (2011) AIP Publishing.]

These results [Reference Jeon, Rha, Lee, Yoo, An, Jung, Kim and Hwang53, Reference Jeon, Rha, Lee, An, Chung, Kim, Cho, Kim and Hwang55] showed that the electrical properties of ATO depended on the Al doping profile. Specifically, an asymmetric Al doping profile along the film thickness direction induced characteristic behavior in leakage current density versus electric field (JE) and capacitance versus voltage (CV) plots (Fig. 9). These characteristic behaviors are solely related to the changes in CBO values with respect to the concentration of the Al dopant at the interface of the ATO and electrode. The leakage current density in the −1.0 ∼ +1.0 MV/cm range was determined by Al concentration at the interface where the electrons were injected [i.e., at the bottom interface when a positive bias was applied on the top electrode, Fig. 9(A)]. Moreover, a hump with a significant slope change was observed in the JE plot for samples with the lowest Al concentration at the electron–injection interface. This hump provides evidence that only the Al dopant at the interface increases the barrier height, resulting in a decrease in leakage current. A different Al concentration induces a barrier height difference between the two interfaces. An internal bias originating from the barrier height difference is induced, and the presence of such a barrier height difference distorts the JE plots. Moreover, this result revealed that the CBO increases because of Al doping only in the TiO2 thin film having a rutile crystal structure, not in the anatase-phase TiO2 thin film [Fig. 9(B)] [Reference Jeon, Rha, Lee, Yoo, An, Jung, Kim and Hwang53]. This difference originated from the difference in energy levels of the Al+ dopant with respect to the crystal structure of the TiO2 thin film, indicating that the CBO governs the entire leakage current density level in TiO2 thin films. The internal bias caused by the Al concentration difference between two interfaces also induced a distortion in the CV plot [Fig. 9(C)] [Reference Jeon, Rha, Lee, An, Chung, Kim, Cho, Kim and Hwang55]. It is common to observe a decrease in the C value in small signal CV measurements under a high DC bias in an MIM capacitor with a high-k dielectric as an insulator. Therefore, the maximum C value is generally observed at an applied bias of 0 V. However, the asymmetry of the Al concentration induced a shift in V max, the applied bias value where the maximum C is observed, from 0 V to a point corresponding to the internal bias voltage that could be induced by different Schottky barrier heights between two interfaces. The ∼ −0.1 V (+0.1 V) shift in V max of the 0 A (250 A) sample revealed that the barrier height at the bottom interface was higher (lower) than that of the top interface. From the aforementioned results, it was concluded that the leakage current density of the MIM capacitor with ATO thin films is governed by the barrier height at the interface of the insulator and metal electrode, which can be altered by the Al dopant concentration at the interface but not in the bulk region. This is the opposite result from that observed in the ZrO2 case, wherein the Al dopant in the bulk region is responsible for leakage current reduction. This difference in the effect of the Al dopant on leakage current for ZrO2 and TiO2 originated from the difference in the dominant carrier conduction mechanism in ZrO2 (bulk-related) and TiO2 (interface-related).

Figure 9: (A) JV curves of the ATO samples with various Al locations (inset) ln(J/T 2) versus E 1/2 plots of the low–electric field region to confirm the Schottky emission mechanism. (B) Variation of the CBO as a function of the Al concentration of rutile (red) and anatase (blue) TiO2. The left and right panels of the inset show the valence band spectra of the rutile and anatase TiO2 films, respectively. [Reprinted with permission from Ref. Reference Jeon, Rha, Lee, Yoo, An, Jung, Kim and Hwang53. Copyright (2014) American Chemical Society.] (C) CV curves of the various ATO films. The number in each graph indicates the number of TiO2 ALD cycles before the single Al2O3 ALD cycle was commenced (right-bottom). Applied bias on the top electrode where the maximum capacitance was acquired for various ATO films. [Reprinted with permission from Ref. Reference Jeon, Rha, Lee, An, Chung, Kim, Cho, Kim and Hwang55. Copyright (2015) John Wiley and Sons.]

SrTiO3

SrTiO3 (STO) has also attracted a lot of attention as one of the most promising dielectric materials, offering an extraordinarily high dielectric constant of ∼300 because of its perovskite crystal structure [Reference Shim, Choi, Kim, Torgersen, An, Lee and Prinz56, Reference Ulrich, Schaper, Nelms and Leftwich57, Reference Choudhury, Rao and Choudhury58]. However, the MIM capacitor with STO also suffers from severe leakage current problems based on the trade-off relationship between the dielectric constant and band gap. The dominant reason for severe leakage current problems in STO thin films is related to its narrow band gap of 3.2 eV. Therefore, carrier conduction in STO thin films deposited by pulsed laser deposition techniques (which can offer a relatively perfect crystal structures) occurs through the Schottky emission mechanism, as in TiO2, which also has a very narrow band gap [Fig. 10(a)] [Reference Dugu, Pavunny, Scott and Katiyar59]. However, defect-related mechanisms (i.e., bulk-related, such as TAT or P–F emission) become the dominant mechanisms of carrier conduction in STO thin films deposited by ALD [Figs. 10(b) and 10(c)] [Reference Swerts, Popovici, Kaczer, Aoulaiche, Redolfi, Clima, Caillat, Wang, Afanasev, Jourdan, Olk, Hody, Van Elshocht and Jurczak60, Reference Mojarad, Kwa, Goss, Zhou, Ponon, Appleby, Al-Hamadany and O’Neill61]. This is because ALD techniques result in imperfect ternary oxide deposition. Even when the band gap of STO is as narrow as that of TiO2, the carrier conduction mechanism would not be the same as that of TiO2. In other words, it is difficult to anticipate the carrier conduction mechanism based only on the band gap of the dielectric film.

Figure 10: (a) Leakage current density of the dielectric stack with a CET value of 2.4 nm measured at four different temperatures. (inset-left) Room-temperature Schottky plot for the stack with a CET value of 2.4 nm. (inset-right) Leakage current values of the four stacks versus capacitance density measured at 300 K and at 1 V. [Reprinted with permission from Ref. Reference Dugu, Pavunny, Scott and Katiyar59. Copyright (2016) AIP Publishing.] (b) (left) Current density as a function of the gate bias for the Ru/SrTiOx/Ru stack with TiN deposited at 25 and 200 °C. (inset) Current as function of capacitor area, (right) current density as a function of the gate bias measured at different temperatures (symbols) and fitted using the Poole–Frenkel model (lines). (inset) The extracted Poole–Frenkel trap depth at + and −1 V. [Reprinted with permission from Ref. Reference Swerts, Popovici, Kaczer, Aoulaiche, Redolfi, Clima, Caillat, Wang, Afanasev, Jourdan, Olk, Hody, Van Elshocht and Jurczak60. Copyright (2014) IEEE.] (c) (left) Negative voltage bias at the top electrode and low injection of electrons to the bottom electrode due to the large distance of oxygen vacancies from the bottom electrode. (right) Positive voltage bias at the top electrode and high injection of electrons to vacancies due to the small distance between oxygen vacancies and the bottom electrode. [Reprinted with permission from Ref. Reference Mojarad, Kwa, Goss, Zhou, Ponon, Appleby, Al-Hamadany and O’Neill61. Copyright (2012) AIP Publishing.]

Summary

Based on the previous results, there is no absolute solution for controlling leakage current for all high-k dielectric materials. Although use of Al2O3 has been considered a universal solution for reducing leakage current reduction, dramatic leakage current reduction by Al2O3 was achieved only for some cases of high-k dielectrics, such as ZrO2 and rutile-TiO2. Although the obtained leakage current reduction results were the same for these two dielectrics (i.e., ZrO2 and rutile-TiO2), the mechanisms were quite different. Moreover, there are no absolute criteria governing the carrier conduction mechanisms in high-k dielectrics. At a glance, band gap appears to be a definitive criterion for determining the dominant carrier conduction mechanism. That is, carrier conduction is bulk-related for a relatively higher band gap and interface-related for relatively lower band gap high-k dielectrics. However, as in the STO case, the bulk-related carrier conduction mechanism can cause a dominant overall leakage current for narrow band gap high-k dielectrics when the dielectric has many bulk defects caused by the deposition technique. Therefore, an exact carrier conduction mechanism evaluation should be conducted in advance for the targeted dielectric to develop an effective method to reduce leakage current.

Capacitance density

Higher capacitance density is desirable for robust operation of all electronic devices that use capacitor components. Capacitance density is related to the polarization of a dielectric material as represented by the dielectric constant. However, in an actual MIM capacitor, the measured capacitance density is generally lower than expected. The difference between actual and ideal capacitance is likely caused by the polycrystalline nature of the deposited thin film and the crystallinity degradation in the surface region. In this regard, research on enhancing the capacitance density has focused on increasing the crystallinity of the thin film and eliminating the influence of the interface region.

Increasing the crystallinity

The polycrystalline nature of deposited thin films decreases the actual dielectric constant. However, achieving a single crystal insulator by a deposition technique is theoretically impossible and is not favorable from a processing perspective. Therefore, approaches for inducing a high-k crystal structure and increasing the proportion of the crystallized region have been investigated as effective solutions for enhancing the capacitance density in MIM capacitors.

Dopant-mediated crystallinity enhancement

Most high-k dielectrics have various crystal structures, and the dielectric constant also varies with respect to the crystal structure. Deposited HfO2 and ZrO2 films typically exhibit three crystal structures of monoclinic, tetragonal, and cubic phases with dielectric constants of 20, 30–50, and 30, respectively [Reference Jung, Jang, Cho, Jeon, Kim, Lee and Hwang24, Reference Lee, Yu, Lee and Hwang26], indicating that capacitance density could be changed considerably with respect to the crystal structure of the deposited film. The tetragonal phase has the highest dielectric constant crystal structure and is readily obtained in the ZrO2 deposition process [Fig. 11(a)]. However, the deposited HfO2 thin film generally has the lowest dielectric constant crystal structure of the monoclinic phase [Fig. 11(b)] [Reference Yoo, Jeon, Lee, An, Kim and Hwang21, Reference Lee, Jeon, Cho, Lee, Jeong, Park and Park25, Reference Jeon, Salicio, Chaker, Gonon and Vallee42]. In this regard, various methods for inducing high-k phases of HfO2 have been investigated. Tetragonal and cubic phases are metastable at atmospheric pressure and room temperature. The transition of the monoclinic phase to these metastable phases requires application of an internal stress that acts as a driving force for the transition from the monoclinic, low-symmetric phase to the tetragonal and cubic high-symmetric phases [Reference Zhao and Vanderbilt23]. Based on this understanding, several methods, such as cation doping [Reference Yoo, Jeon, Lee, An, Kim and Hwang21, Reference Lee, Jeon, Cho, Lee, Jeong, Park and Park25, Reference Lee, Cho, Lee, Hwang and Han62, Reference Tomida, Kita and Toriumi63, Reference Park and Kang64], carbon impurity incorporation [Reference Cho, Jung, Yu, Yoon, Kim, Lee, Jeon, Han, Kim, Park, Park and Hwang65], production of oxygen-deficient phases [Reference Jang, Jung, Kim, Lee, Hwang and Kim66], and alloying of ZrO2 and HfO2 [Reference Park, Kim, Kim, Lee, Moon and Hwang67, Reference Park, Lee, Kim, Kim, Moon, Do Kim, Müller, Kersch, Schroeder, Mikolajick and Hwang68], have been suggested. Among them, cation doping is the most favorable method because it can simultaneously reduce leakage current. In this regard, various cations have been used as dopants of HfO2 to obtain high-k phases. Doping of Al2O3 has attracted a lot of attention because of its relatively wide band gap, which produces an expectation of leakage current reduction. Results of the enhanced dielectric constant (up to ∼40) and improved leakage current achieved by Al doping into HfO2 thin films have been reported in various device applications, such as DRAM capacitor dielectrics and gate insulators [Fig. 11(c)] [Reference Yoo, Jeon, Lee, An, Kim and Hwang21, Reference Lee, Jeon, Cho, Lee, Jeong, Park and Park25, Reference Park and Kang64]. It should be noted that the dielectric constant gradually increases with increasing Al concentration to a specific concentration value and decreases to the level of pristine HfO2 as Al exceeds this specific concentration [Figs. 11(c)–11(e)]. This is because of the phase transition in HfO2 thin films from monoclinic to tetragonal or cubic and finally to amorphous with increasing Al concentration. The mechanism of the phase transition was revealed by first-principle calculations based on the density functional theory that the relative phase stability changed with the Al concentration [Fig. 11(f)] [Reference Lee, Jeon, Cho, Lee, Jeong, Park and Park25, Reference Lee, Cho, Lee, Hwang and Han62]. From the calculation, the most stable phase is changed from monoclinic to tetragonal and cubic with increasing the Al concentration in HfO2. The critical grain size (CGS) can also be used to explain this phase transition behavior, especially in the difference between ZrO2 and HfO2 [Reference Cho, Jung, Yu, Yoon, Kim, Lee, Jeon, Han, Kim, Park, Park and Hwang65, Reference Park, Kim, Kim, Moon and Hwang69, Reference Garvie70, Reference Garvie71, Reference Pitcher, Ushakov, Navrotsky, Woodfield, Li, Boerio-Goates and Tissue72, Reference Shandalov and McIntyre73]. The CGS is the grain size of the dielectric film where the transition occurs from tetragonal or cubic to monoclinic based on surface energy and phase stability. The CGS for the ZrO2 film was ∼25–30 nm, resulting in thin films with tetragonal and cubic phases in the as-deposited state. However, the only ∼5–10 nm CGS of HfO2 indicates the need for a dopant to obtain a high-k phase. Furthermore, phase engineering using a dopant has recently attracted a lot of attention for demonstrating ferroelectric HfO2 thin films.

Figure 11: GAXRD patterns of the postannealed (a) Al-doped ZrO2 and (b) Al-doped ZrO2 films with different Al concentrations. [Reprinted with permission from Ref. Reference Yoo, Jeon, Lee, An, Kim and Hwang21. Copyright (2014) American Chemical Society.] (c) Variations in (left) the dielectric constant and (right) leakage current density at 1 MV/cm (blue) and breakdown electric field (red), as functions of ALD cycle ratio between HfO2 and Al2O3 [Reprinted with permission from Ref. Reference Lee, Jeon, Cho, Lee, Jeong, Park and Park25. Copyright (2016) American Chemical Society.] (d) Dielectric constants of Hf aluminate films with respect to the number of unit cycles in a HfO2 subcycle before and after the annealing process. [Reprinted with permission from Ref. Reference Park and Kang64. Copyright (2006) AIP Publishing.] (e) Variations in the dielectric constant of the Al-doped HfO2 and Al-doped ZrO2 films as a function of the Al concentration. [Reprinted with permission from Ref. Reference Yoo, Jeon, Lee, An, Kim and Hwang21. Copyright (2014) American Chemical Society.] (f) Relative stabilities between phases for different Al doping concentrations. [Reprinted with permission from Ref. Reference Lee, Jeon, Cho, Lee, Jeong, Park and Park25. Copyright (2016) American Chemical Society.]

Crystallinity coherency with the electrode

Another effective way to enhance the crystallinity of dielectric films is to induce epitaxial growth due to coherence between crystal structures of the dielectric and electrode substrate during dielectric film deposition. Generally, a crystallized dielectric film has a higher dielectric constant than that of amorphous or low crystalline forms. Hence, crystallization during the deposition process would be favorable to improve capacitance density of the MIM capacitor. However, the temperature of the ALD process is relatively lower than the moderate annealing process temperature. Therefore, ways to increase the process temperature to induce crystallization during the deposition process have been suggested, although this might result in degradation to the thermal budget of the device. Moreover, most high-k dielectrics have two or more representative crystal structures. Unfortunately, higher dielectric constants can be achieved with higher temperature-stable crystal structures. This also makes it difficult to achieve sufficiently high dielectric constants when the thermal budget is applied during the deposition process.

In this regard, epitaxial growth behavior based on coherence between the crystallinity of the substrate and deposited film has been evaluated as the most effective way to obtain highly crystalline high-k dielectric thin films with desirable crystalline structures. ALD of TiO2 thin films on Ru or RuO2 is a most good example of crystallization of dielectrics facilitated by coherency with the substrate [Reference Kim, Kim, Kim, Hwang and Jeong74]. TiO2 thin films have three kinds of crystal structures: anatase, rutile, and brookite. Among them, the rutile structure is the most favorable for DRAM capacitor dielectric applications because of its higher dielectric constant of 80–100. However, the rutile structure is difficult to obtain through moderate deposition or annealing processes because of the high temperature stability of rutile crystal structures. Many attempts have been made, but researchers have struggled to achieve the rutile phase. In 2004, Kim et al. reported TiO2 thin films of the rutile phase at the as-deposited state by the ALD process at a process temperature of 250 °C, which is actually too low to induce rutile phase crystallization. The key factor in this achievement was the use of a Ru film as a substrate and O3 as an oxygen source in the TiO2 ALD process. In this case, O3 introduced in the first stage of TiO2 ALD oxidized the surface of the Ru substrate to form RuO2 [Fig. 12(a)]. RuO2 easily crystallized to the rutile phase with almost identical lattice parameters to that of the rutile phase of TiO2 thin films. Thus, the deposited TiO2 thin film on a Ru substrate with O3 as an oxygen source resulted in a rutile crystal structure in the as-deposited state. When H2O was used as an oxygen source of TiO2 ALD instead of O3, only anatase or amorphous phases were obtained because the weak oxidation potential of H2O cannot produce RuO2 on the surface of the Ru substrate during the TiO2 ALD process [Figs. 12(b) and 12(c)]. This result indicates that coherence of the crystal structure between the substrate film and deposited film, RuO2 and TiO2 in this case, is the key factor that allows deposition of a desired specific crystal structure (e.g., rutile-phased TiO2). The deposition of TiO2 on RuO2 is not the only case for crystallinity coherency of dielectric with the electrode. As the same manner, IrO2, MoO2, and SnO2 also can induce the rutile-phased TiO2 thin film during the ALD process [Reference Jeon, Chung, Joo and Kang50, Reference Kim, Han, Han, Lee and Hwang75, Reference Lee, Cho, Lee, Hwang, Chang and Kim76, Reference Lee, Kwon and Ahn77]. Consequently, using a substrate that has crystal structure coherency is one of the most effective ways of acquiring high dielectric constants in deposited dielectric thin films.

Figure 12: (a) Schematic diagram of the formation of RuO2 and resulting rutile-phased TiO2 thin film during TiO2 ALD with O3 as an oxidant. (b) XRD spectra of TiO2 thin film deposited on Ru with (upper) H2O and (lower) O3 as an oxidant, respectively. (c) Variations in the oxide equivalent thickness of TiO2 films grown on Ru with H2O and O3 as an oxidant, respectively, indicating bulk dielectric constants. [Reprinted with permission from Ref. Reference Kim, Kim, Kim, Hwang and Jeong74. Copyright (2004) AIP Publishing.]

Defective phase–assisted crystallinity enhancement

Generally, dielectric thin films have amorphous or partially crystallized structures right after the deposition process. Hence, particular crystallization processes, such as postdeposition annealing (PDA) or postmetallization annealing (PMA), have been used to enhance or induce a specific crystal structure in deposited thin films. Furthermore, these postannealing processes are also adopted for the formation of stoichiometric compositions of deposited thin films, especially for ternary oxide deposition using the ALD technique [Reference Lee, Han, Kwon and Hwang78, Reference Lee, Jeon, An, Chung, Kim, Eom, George, Park, Han, Kim, Chung, Lee and Hwang79, Reference Kosola, Putkonen, Johansson and Niinistö80, Reference Vehkamäki, Hänninen, Ritala, Leskelä, Sajavaara, Rauhala and Keinonen81, Reference Lee, Han, Jeon, Yoo, Lee, Kim, Ko, Lansalot-Matras and Hwang82, Reference Lee, Han, Han, Lee, Jang, Seo, Kim, Dussarrat, Gatineau, Min and Hwang83, Reference Kil, Lee and Roh84, Reference Kwon, Kim, Cho, Hwang and Jeong85, Reference Popovici, Tomida, Swerts, Favia, Delabie, Bender, Adelmann, Tielens, Brijs, Kaczer, Pawlak, Kim, Altimime, Van Elshocht and Kittl86]. In the ALD process for binary as well as ternary oxides, each atom will have its metastable location in the aspect of potential energy in the as-deposited state. Therefore, the crystal structure of the deposited thin film in the as-deposited state is generally amorphous. The PDA or PMA process is applied to supply additional energy for achieving the designated crystal structure or stoichiometry. Here, the key point is that the purpose of the PDA or PMA process is to apply additional energy to facilitate movement (or migration) of atoms to their own lowest energy positions at a given condition (such as temperature or atmosphere). In other words, there is a kind of energy barrier that should be overcome to transition from the metastable state of the deposited thin film in the as-deposited state to the stable state. Thus, inducing a more unstable as-deposited state would be helpful to obtain the desired final state.

Crystallinity enhancement of SrTiO3 (STO) thin film mediated by defective ALD subcycles has been reported [Reference Chung, Jeon, An, Kim, Lee, Lee and Hwang87]. The STO thin film is a representative ternary oxide deposited by ALD consisted of SrO and TiO2 subcycles. Stoichiometry is controlled by the subcycle ratio, and the characteristic perovskite crystal structure is achieved by a subsequent PDA process. Developing more robust and stable ALD subcycles is a crucial way of achieving highly crystallized STO thin films by ALD. By contrast, Chung et al. reported crystallinity enhancement in STO ALD from a faulty TiO2 ALD subcycle. During the STO ALD, the deposition behavior of the TiO2 ALD subcycle is strongly dependent on the nature of the surface terminations, which influence chemisorption of the Ti precursor. The deposition rate and chemical status of deposited TiO2 in the STO ALD change with respect to the last reactant introduced into the chamber. In particular, the TiO2 deposited when the SrO ALD was performed with H2O as the reactant instead of O3 had more defects than that from SrO ALD with O3 [Fig. 13(a)]. Even though the deposited TiO2 had more defects, the final STO thin film exhibited significant crystallinity enhancement [Figs. 13(b)–13(d)]. Eventually, a remarkable dielectric constant of 236 was achieved [Fig. 13(c)], which is the highest value of STO thin films deposited by ALD. It seems that the unstable phase in TiO2 had a relatively higher energy level than that of the stoichiometric TiO2 phase, making it easier to overcome the energy barriers to atom movement of Sr, Ti, and O to the perovskite crystal structure positions. In this result, the defective phase in the STO thin film was rather beneficial and enhanced the crystallinity of the STO film. Namely, the imperfect ALD subcycle induced significant improvements in ternary oxide ALD.

Figure 13: (a) Peak fits of Ti 2p spectra of STO films deposited by (left) [TOSO] and (right) [TOTOSHSH]. (b) XRD spectra of the STO films deposited by [TOTOSHSH] (H2O) and [TOSO] (O3) sequences. (c) Variation of equivalent oxide thickness as a function of the physical thickness of STO films with the STO films deposited by [TOSO] and [TOTOSHSH] sequences. (d) Cross-sectional image of the MIM structure consisted with STO, and (right) magnified image of the STO film right above the bottom Ru electrode [Reprinted with permission from Ref. Reference Chung, Jeon, An, Kim, Lee, Lee and Hwang87. Copyright (2018) American Chemical Society].

Dead-layer effect

Even if the crystallinity of the insulator is perfect, the measured capacitance value in the actual device is less than the expected or calculated value. Among many factors that can be involved in capacitance degradation in an actual device, the most severe is the dead-layer effect. The dead-layer effect includes all the effects that reduce the capacitance of the MIM structure in the interfacial region of the insulator and metal electrode; indeed, “dead-layer” indicates the degraded interfacial region. Many mechanisms have been suggested for dead-layer effects. Among them, one of the widely accepted mechanisms is the E-field screening capability of the metal electrode intrinsically would govern the dead-layer effect [Reference Stengel and Spaldin88, Reference Hwang89]. Some applied E-fields will penetrate into the metal electrode because of poor E-field screening of the metal electrode, resulting in a decrease in effective E-fields applied on the insulator layer. In this regard, noble metals such as Pt can reduce the dead-layer effect based on their higher E-field screening capability. However, in an actual case, using a noble metal as the electrode does not improve the capacitance density of the MIM structure compared with conventional electrode materials such as TiN, or Ru [Reference Jeon, Kim, An, Hwang, Gonon and Vallee34, Reference Kim, Yu, Lee, Park and Hwang90, Reference Kyeom Kim, Yu, Lee, Park and Seong Hwang91, Reference Jeon, Yoo, Kim, Lee, An, Chung, Cho, Kim and Hwang92]. Hence, practical aspects, such as crystallinity degradation at the interface, would be more important for improving the total capacitance of an MIM structure by reducing the dead-layer effect. Therefore, several results dealing with the dead-layer effect based on the nature of the interface between the insulator and electrode have been reported.

Dead-layer effect by crystallinity degradation of the insulator at the interface

As mentioned earlier, use of noble metals as electrodes has attracted a lot of attention to enhance the performance of MIM structures. This is attributed to the advantageous electrical properties of the noble metals, including effective E-field screening and a higher work-function. Pt metal has the highest work-function value among noble metals, and it has been used as a metal electrode to reduce leakage current in the MIM structure. However, there have been no results about experimentally demonstrating capacitance density improvements in actual MIM structures by adopting noble metal electrodes [Reference Jeon, Kim, An, Hwang, Gonon and Vallee34, Reference Kim, Yu, Lee, Park and Hwang90, Reference Kyeom Kim, Yu, Lee, Park and Seong Hwang91, Reference Jeon, Yoo, Kim, Lee, An, Chung, Cho, Kim and Hwang92]. In contrast to the theoretical results [Reference Stengel and Spaldin88], the capacitance density of the MIM structure with the noble metal decreased compared with that of a metal that has lower E-field screening capability. These results imply that there is another dominant factor in the capacitance density degradation by the dead-layer effect.

To clarify this factor, changes in the electrical properties of the top electrode of an MIM structure were investigated for devices in which ATO was the insulator layer [Reference Jeon, Yoo, Kim, Lee, An, Chung, Cho, Kim and Hwang92]. In this study, RuO2 and Pt were used as top electrodes because they have quite different intrinsic natures, sufficient difference in work-function (∼0.8 eV), and are representative of conductive oxides and noble metals, respectively. Although Pt seems preferable to eliminate the dead-layer effect from its beneficial intrinsic properties compared with that of RuO2, the measured capacitance density value indicates that a RuO2 top electrode is favorable to enhance the capacitance density value of the MIM structure [Fig. 14(A)]. In the as-deposited state, the capacitance density of an MIM capacitor with a RuO2 top electrode exhibited a slightly higher value of ∼10% than that having a Pt top electrode. However, after the PMA process, the difference in capacitance density between RuO2 and Pt top electrodes significantly increased to ∼38%. During these processes, the bulk dielectric constant of Al-doped TiO2 [as calculated from slope of the equivalent oxide thickness (t ox) versus physical oxide thickness (t phy) curve] was identical for all samples with various thicknesses. This indicates that the difference with respect to the top electrode is solely caused by a change at the interfacial layer of the dielectric and electrode, which is called the “dead-layer.” Accordingly, transmission electron microscopic (TEM) analysis was performed to determine the difference at the interface [Fig. 14(B)], which induces differences in capacitance density. TEM analysis showed significant differences in crystallinity of the ATO film at the interface depending on the top electrode material. When Pt was used as the top electrode, an amorphous region in the ATO film was clearly observed at the interface with Pt. By contrast, the Al-doped TiO2 film had a perfectly crystalline structure (even at the interface) when RuO2 was adopted as the top electrode. This difference originated from the crystal structure coherence of the dielectric and electrode. RuO2 has a common crystal structure with the TiO2 rutile phase, resulting in recrystallization of the interfacial region of Al-doped TiO2. However, Pt does not have any crystal structures in common with Al-doped TiO2, which resulted in severe degradation of the crystallinity of Al-doped TiO2 located at the interface. Therefore, the difference in coherency of the crystallinity of electrodes with dielectric films caused differences in crystallinity of the dielectric film in the interface region. This is because the difference in t ox of the MIM structure as a function of t phy of the dielectric film was constant regardless of the t phy. The y-intercept in t oxt phy plots for RuO2 and Pt top electrode samples showed the same difference for changes in t ox. This implies that enhancements caused by a RuO2 TE solely originate from improvements in the interface region. In other words, capacitance degradation originated from the interface of the insulator, and the electrode was successfully improved by inducing recrystallization of the amorphized region of an insulator at the interface by adopting an electrode that had a crystal structure similar to that of the insulator. Consequently, the factor that most strongly influences the dead-layer effect is degradation of crystallinity of the insulator at the interface with the electrode. The crystallinity coherency between the insulator and electrode can successfully suppress the dead-layer effect.

Figure 14: (A) (a) Difference in the CV curve of the 9.5 nm thick ATO film (5% Al) deposited on RuO2 with respect to RuO2 (red) and Pt (black) TE, and before (open) and after (closed) the PMA process. (b) t ox (left axis) versus t phy of the TiO2 and ATO films for RuO2 (closed) and Pt (open) TE, and EOT difference (right axis) between Pt and RuO2 TE for each TiO2 and ATO film. (B) Cross-sectional TEM images of the MIM structure with (left) Pt and (right) RuO2 top electrode. [Reprinted with permission from Ref. Reference Jeon, Yoo, Kim, Lee, An, Chung, Cho, Kim and Hwang92. Copyright (2014) American Chemical Society.]

In the same manner, an MIM structure with ZrO2 as an insulator also showed a change in capacitance density based on the electrode material [Reference Jeon, Kim, An, Hwang, Gonon and Vallee34]. When TiN was used as the electrode, the device suffered from leakage current degradation caused by the formation of TiON at the interface. Even so, the capacitance density of the MIM structure exhibited the highest value when TiN was used as the electrode compared with other electrode materials such as RuO2 and Pt. TiN has only one advantage over the other materials, namely, crystallinity coherence. Among the various electrode materials examined in this result, such as TiN, RuO2, and Pt, TiN has the most similar crystal structure with a small lattice mismatch of xx %. This influences the dead-layer effect and overcomes the advantages provided by other electrode materials (i.e., E-field screening or robustness to chemical reaction). This is because the TiN has been used as the electrode for the MIM structure with ZrO2 as an insulator [Reference Onaya, Nabatame, Sawada, Kurishima, Sawamoto, Ohi, Chikyow and Ogura93, Reference Kwon, Han, Park, Bok, Jung, Shin, Kang, Lee, Jammy, Lee and Lee94, Reference Kim, Ignatova, Kücher, Heitmann, Oberbeck and Schröder95, Reference Monaghan, Cherkaoui, Connor, Djara, Hurley, Oberbeck, Tois, Wilde and Teichert96]. Thus, crystallinity coherence is the most important aspect for the dead-layer effect in MIM structures.

Summary

Two strategies were considered for increasing capacitance density of the MIM structure: enhancing the crystallinity of the dielectric and managing the interfacial dead-layer. However, these two strategies are intrinsically the same because the dead layer is reflected in the crystallinity degradation of the dielectric at the interface with the electrode. In other words, enhancing the crystallinity of the dielectric layer is the only way to effectively increase capacitance density. In this regard, various methods have been suggested to obtain the desired crystal structure and enhance the crystallinity of the dielectric. Exploiting the dopant has been suggested for obtaining a specific crystal structure with a relatively higher dielectric constant than the others. Generally, dopants in a dielectric deteriorate the crystallinity of the dielectric. However, selected dopants and optimized concentrations induce crystallization to other crystal structures that are generally not in the most stable phase because of induced internal stress. The dopant is simultaneously used in the dielectric to reduce the leakage current. Therefore, introducing the dopant in the dielectric is a general approach for enhancing the properties of an MIM structure.

Conclusion

Physical and chemical mechanisms involved in the change in leakage current and capacitance density, which are the most crucial properties governing DRAM operation characteristics, were revealed in a comprehensive discussion of previously reported results. The most important thing for enhancing leakage current properties is determining the carrier conduction mechanism in the operation voltage region of the device, followed by investigating effective solutions for reducing leakage current based on the appropriate carrier conduction mechanism. Capacitance density depends on crystallinity of the dielectric, and the crystallinity coherence between dielectric and electrode becomes more important as the proportion of interface increases. The conclusions revealed in this review may pave the way for efficient and effective development of next-generation DRAM capacitor materials and processes.

Acknowledgment

This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korean government (MSIT) (No. 2018R1C1B5045854), and the Industrial Strategic Technology Development Program (20003555, Development of SrTiO3BaTiO3 superlattice highk films for next generation DRAM capacitor) funded by the Ministry of Trade, Industry & Energy (MOTIE, Korea).

Footnotes

This section of Journal of Materials Research is reserved for papers that are reviews of literature in a given area.

References

Hwang, C.S.: Prospective of semiconductor memory devices: From memory system to materials. Adv. Electron. Mater. 1, 1400056 (2015).CrossRefGoogle Scholar
Kotecki, D.E.: A review of high dielectric materials for dram capacitors. Integr. Ferroelectr. 16, 1 (1997).CrossRefGoogle Scholar
Scott, J.F.: High-dielectric constant thin films for dynamic random access memories (DRAM). Annu. Rev. Mater. Sci. 28, 79 (1998).CrossRefGoogle Scholar
Kim, S.K. and Popovici, M.: Future of dynamic random-access memory as main memory. MRS Bull. 43, 334 (2018).CrossRefGoogle Scholar
Wilk, G.D., Wallace, R.M., and Anthony, J.M.: High-k gate dielectrics: Current status and materials properties considerations. J. Appl. Phys. 89, 5243 (2001).CrossRefGoogle Scholar
Jegert, G., Kersch, A., Weinreich, W., and Lugli, P.: Monte carlo simulation of leakage currents in TiN/ZrO2TiN capacitors. IEEE Trans. Electron Devices 58, 327 (2011).CrossRefGoogle Scholar
Robertson, J. and Wallace, R.M.: High-k materials and metal gates for CMOS applications. Mater. Sci. Eng., R 88, 1 (2015).CrossRefGoogle Scholar
Zhu, W., Low, T., Lee, Y-H., Wang, H., Farmer, D.B., Kong, J., Xia, F., and Avouris, P.: Electronic transport and device prospects of monolayer molybdenum disulphide grown by chemical vapour deposition. Nat. Commun. 5, 10451 (2014).Google ScholarPubMed
Baniecki, J.D., Shioga, T., Kurihara, K., and Kamehara, N.: Investigation of the importance of interface and bulk limited transport mechanisms on the leakage current of high dielectric constant thin film capacitors. J. Appl. Phys. 94, 6741 (2003).CrossRefGoogle Scholar
Robertson, J.: High dielectric constant gate oxides for metal oxide Si transistors. Rep. Prog. Phys. 69, 327 (2006).CrossRefGoogle Scholar
Yim, K., Yong, Y., Lee, J., Lee, K., Nahm, H.H., Yoo, J., Lee, C., Hwang, C.S., and Han, S.: Novel high-k dielectrics for next-generation electronic devices screened by automated ab initio calculations. NPG Asia Mater. 7, e190 (2015).CrossRefGoogle Scholar
Khan, M.S., Kim, H.J., Taniguchi, T., Ebina, Y., Sasaki, T., and Osada, M.: Layer-by-layer engineering of two-dimensional perovskite nanosheets for tailored microwave dielectrics. Appl. Phys. Express 10, 091501 (2017).CrossRefGoogle Scholar
Liu, X., Ramanathan, S., Longdergan, A., Srivastava, A., Lee, E., Seidel, T.E., Barton, J.T., Pang, D., and Gordon, R.G.: ALD of hafnium oxide thin films from tetrakis(ethylmethylamino)hafnium and ozone. J. Electrochem. Soc. 152, G213 (2005).CrossRefGoogle Scholar
Ponraj, J.S., Attolini, G., and Bosi, M.: Review on atomic layer deposition and applications of oxide thin films. Crit. Rev. Solid State Mater. Sci. 38, 203 (2013).CrossRefGoogle Scholar
Johnson, R.W., Hultqvist, A., and Bent, S.F.: A brief review of atomic layer deposition: From fundamentals to applications. Mater. Today 17, 236 (2014).CrossRefGoogle Scholar
George, S.M.: Atomic layer deposition: An overview. Chem. Rev. 110, 111 (2010).CrossRefGoogle ScholarPubMed
Leskelä, M. and Ritala, M.: Atomic layer deposition (ALD): From precursors to thin film structures. Thin Solid Films 409, 138146 (2002).CrossRefGoogle Scholar
Richter, C., Schenk, T., Schroeder, U., and Mikolajick, T.: Film properties of low temperature HfO2 grown with H2O, O3, or remote O2-plasma. J. Vac. Sci. Technol., A 32, 01A117 (2014).CrossRefGoogle Scholar
Kim, J.H., Park, T.J., Kim, S.K., Cho, D-Y., Jung, H-S., Lee, S.Y., and Hwang, C.S.: Chemical structures and electrical properties of atomic layer deposited HfO2 thin films grown at an extremely low temperature (≤100 °C) using O3 as an oxygen source. Appl. Surf. Sci. 292, 852 (2014).CrossRefGoogle Scholar
Schroeder, U., Jakschik, S., Erben, E., Avellan, A., Kudelka, S.P., Kerber, M., Link, A., and Kersch, A.: Recent Developments in ALD Technology for 50 nm Trench DRAM Applications, S. Kar, S. De Gendt, M. Houssa, D. Landheer, D. Misra, and W. Tsai, eds. (ECS Transactions 1, Los Angeles, CA, 2005); pp. 125132.CrossRefGoogle Scholar
Yoo, Y.W., Jeon, W., Lee, W., An, C.H., Kim, S.K., and Hwang, C.S.: Structure and electrical properties of Al-doped HfO2 and ZrO2 films grown via atomic layer deposition on Mo electrodes. ACS Appl. Mater. Interfaces 6, 22474 (2014).CrossRefGoogle ScholarPubMed
Zhao, X. and Vanderbilt, D.: Phonons and lattice dielectric properties of zirconia. Phys. Rev. B: Condens. Matter Mater. Phys. 65, 1 (2002).CrossRefGoogle Scholar
Zhao, X. and Vanderbilt, D.: First-principles study of structural, vibrational, and lattice dielectric properties of hafnium oxide. Phys. Rev. B 65, 233106 (2002).CrossRefGoogle Scholar
Jung, H.S., Jang, J.H., Cho, D.Y., Jeon, S.H., Kim, H.K., Lee, S.Y., and Hwang, C.S.: The effects of postdeposition annealing on the crystallization and electrical characteristics of HfO2 and ZrO2 gate dielectrics. Electrochem. Solid-State Lett. 14, G17 (2011).CrossRefGoogle Scholar
Lee, Y., Jeon, W., Cho, Y., Lee, M-H., Jeong, S-J., Park, J., and Park, S.: Mesostructured HfxAlyO2 thin films as reliable and robust gate dielectrics with tunable dielectric constants for high-performance graphene-based transistors. ACS Nano 10, 6659 (2016).CrossRefGoogle ScholarPubMed
Lee, J.H., Yu, I-H., Lee, S.Y., and Hwang, C.S.: Phase control of HfO2-based dielectric films for higher-k materials. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct. 32, 03D109 (2014).CrossRefGoogle Scholar
Weinreich, W., Shariq, A., Seidel, K., Sundqvist, J., Paskaleva, A., Lemberger, M., and Bauer, A.J.: Detailed leakage current analysis of metal–insulator–metal capacitors with ZrO2, ZrO2/SiO2/ZrO2, and ZrO2/Al2O3/ZrO2 as dielectric and TiN electrodes. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 31, 01A109 (2013).Google Scholar
Lee, S.Y., Chang, J., Kim, Y., Lim, H., Jeon, H., and Seo, H.: Depth resolved band alignments of ultrathin TiN/ZrO2 and TiN/ZrO2–Al2O3–ZrO2 dynamic random access memory capacitors. Appl. Phys. Lett. 105, 201603 (2014).CrossRefGoogle Scholar
Lee, S.Y., Chang, J., Choi, J., Kim, Y., Lim, H., Jeon, H., and Seo, H.: Investigation of ultrathin Pt/ZrO2–Al2O3–ZrO2/TiN DRAM capacitors Schottky barrier height by internal photoemission spectroscopy. Curr. Appl. Phys. 17, 267 (2017).CrossRefGoogle Scholar
Lee, S-Y., Kim, H., McIntyre, P.C., Saraswat, K.C., and Byun, J-S.: Atomic layer deposition of ZrO2 on W for metal–insulator–metal capacitor application. Appl. Phys. Lett. 82, 2874 (2003).CrossRefGoogle Scholar
Knebel, S., Schroeder, U., Zhou, D., Mikolajick, T., and Krautheim, G.: Conduction mechanisms and breakdown characteristics of Al2O3-doped ZrO2 high-k dielectrics for three-dimensional stacked metal–insulator–metal capacitors. IEEE Trans. Device Mater. Reliab. 14, 154 (2014).CrossRefGoogle Scholar
Jegert, G., Kersch, A., Weinreich, W., and Lugli, P.: Ultimate scaling of TiN/ZrO2/TiN capacitors: Leakage currents and limitations due to electrode roughness. J. Appl. Phys. 109, 014504 (2011).CrossRefGoogle Scholar
Jegert, G., Popescu, D., Lugli, P., Häufel, M.J., Weinreich, W., and Kersch, A.: Role of defect relaxation for trap-assisted tunneling in high-k thin films: A first-principles kinetic monte carlo study. Phys. Rev. B 85, 045303 (2012).CrossRefGoogle Scholar
Jeon, W., Kim, Y., An, C.H., Hwang, C.S., Gonon, P., and Vallee, C.: Demonstrating the ultrathin metal–insulator–metal diode using TiN/ZrO2–Al2O3–ZrO2 stack by employing RuO2 top electrode. IEEE Trans. Electron Devices 65, 660 (2018).CrossRefGoogle Scholar
Martin, D., Grube, M., Weinreich, W., Müller, J., Weber, W.M., Schröder, U., Riechert, H., and Mikolajick, T.: Mesoscopic analysis of leakage current suppression in ZrO2/Al2O3/ZrO2 nano-laminates. J. Appl. Phys. 113, 194103 (2013).CrossRefGoogle Scholar
Wang, L-M.: Relationship between Intrinsic Breakdown Field and Bandgap of Materials, N. Stojadinović, S. Dimitrijev, H. Iwai, S. Selberherr, J. Liou, I. Manić, and T. Pešić, eds. (25th International Conference on Microelectronics, Belgrade, Serbia and Montenegro, 2006); p. 576.Google Scholar
Kim, S.K. and Hwang, C.S.: Atomic layer deposition of ZrO2 thin films with high dielectric constant on TiN substrates. Electrochem. Solid-State Lett. 11, G9 (2008).CrossRefGoogle Scholar
Cho, H.J., Kim, Y.D., Park, D.S., Lee, E., Park, C.H., Jang, J.S., Lee, K.B., Kim, H.W., Ki, Y.J., Han, I.K., and Song, Y.W.: New TIT capacitor with ZrO2/Al2O3/ZrO2 dielectrics for 60 nm and below DRAMs. Solid State Electron. 51, 1529 (2007).CrossRefGoogle Scholar
Lee, B., Choi, K.J., Hande, A., Kim, M.J., Wallace, R.M., Kim, J., Senzaki, Y., Shenai, D., Li, H., Rousseau, M., and Suydam, J.: A novel thermally-stable zirconium amidinate ALD precursor for ZrO2 thin films. Microelectron. Eng. 86, 272 (2009).CrossRefGoogle Scholar
Cho, H., Kim, Y., Park, D., Lee, E., Park, C., Jang, J., Lee, K., Kim, H., Chae, S., Ki, Y., Han, I., and Song, Y.: New TIT Capacitor with ZrO2/Al2O3/ZrO2 dielectrics for 60 nm and below DRAMs, M. Declercq, Y. Leblebici, A. Ionescu, H. Shea, R. Thewes, C. Enz, Q. Huang, T. Noll, G. DeMicheli, W. Grabinski, K. Ishimaru, S. Eggli, and V. Aguet, eds. (European Solid-State Device Research Conference, 36, Montreux, Switzerland, 2006); p. 146.CrossRefGoogle Scholar
Kil, D-S., Song, H-S., Lee, K-J., Hong, K., Kim, J-H., Park, K-S., Yeom, S-J., Roh, J-S., Kwak, N-J., Sohn, H-C., Kim, J-W., and Park, S-W.: Development of New TiN/ZrO2/Al2O3/ZrO2/TiN Capacitors Extendable to 45 nm Generation DRAMs Replacing HfO2 Based Dielectrics, R. Havemann, S. Kimura, L. Tran, and R. Yamada, eds. (2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers, Hawaii, USA, 2006); p. 38.Google Scholar
Jeon, W., Salicio, O., Chaker, A., Gonon, P., and Vallee, C.: Controlling the current conduction asymmetry of HfO2 metal–insulator–metal diodes by interposing Al2O3 layer. IEEE Trans. Electron Devices 66, 402 (2019).CrossRefGoogle Scholar
An, C.H., Lee, W., Kim, S.H., Cho, C.J., Kim, D-G., Kwon, D.S., Cho, S.T., Cha, S.H., Lim, J.I., Jeon, W., and Hwang, C.S.: Controlling the electrical characteristics of ZrO2/Al2O3/ZrO2 capacitors by adopting a Ru top electrode grown via atomic layer deposition. Phys. Status Solidi RRL 13, 1800454 (2019).CrossRefGoogle Scholar
Tapily, K., Gu, D., Baumgart, H., Namkoong, G., Stegall, D., and Elmustafa, A.A.: Mechanical and structural characterization of atomic layer deposition-based ZnO films. Semicond. Sci. Technol. 26, 115005 (2011).CrossRefGoogle Scholar
Hornsveld, N., Put, B., Kessels, W.M.M., Vereecken, P.M., and Creatore, M.: Plasma-assisted and thermal atomic layer deposition of electrochemically active Li2CO3. RSC Adv. 7, 41359 (2017).CrossRefGoogle Scholar
Choi, W-H., Sheng, J., Jeong, H-J., Park, J-S., Kim, M., and Jeon, W.: Improved performance and stability of In–Sn–Zn–O thin film transistor by introducing a meso-crystalline ZrO2 high-k gate insulator. J. Vac. Sci. Technol., A 37, 020924 (2019).CrossRefGoogle Scholar
Kim, J.Y., Kim, D-W., Jung, H.S., and Hong, K.S.: Influence of anatase–rutile phase transformation on dielectric properties of sol–gel derived TiO2 thin films. Jpn. J. Appl. Phys. 44, 6148 (2005).CrossRefGoogle Scholar
Tang, H., Prasad, K., Sanjinès, R., Schmid, P.E., and Lévy, F.: Electrical and optical properties of TiO2 anatase thin films. J. Appl. Phys. 75, 2042 (1994).CrossRefGoogle Scholar
Di Mo, S. and Ching, W.Y.: Electronic and optical properties of three phases of titanium dioxide: Rutile, anatase, and brookite. Phys. Rev. B 51, 13023 (1995).Google Scholar
Jeon, W., Chung, H-S., Joo, D., and Kang, S-W.: TiO2/Al2O3/TiO2 nanolaminated thin films for DRAM capacitor deposited by plasma-enhanced atomic layer deposition. Electrochem. Solid-State Lett. 11, H19 (2008).CrossRefGoogle Scholar
Kim, S.K., Choi, G-J., Lee, S.Y., Seo, M., Lee, S.W., Han, J.H., Ahn, H-S., Han, S., and Hwang, C.S.: Al-doped TiO2 films with ultralow leakage currents for next generation DRAM capacitors. Adv. Mater. 20, 1429 (2008).CrossRefGoogle Scholar
Deák, P., Aradi, B., and Frauenheim, T.: Polaronic effects in TiO2 calculated by the HSE06 hybrid functional: Dopant passivation by carrier self-trapping. Phys. Rev. B 83, 155207 (2011).CrossRefGoogle Scholar
Jeon, W., Rha, S.H., Lee, W., Yoo, Y.W., An, C.H., Jung, K.H., Kim, S.K., and Hwang, C.S.: Controlling the Al-doping profile and accompanying electrical properties of rutile-phased TiO2 thin films. ACS Appl. Mater. Interfaces 6, 7910 (2014).CrossRefGoogle ScholarPubMed
Han, J.H., Han, S., Lee, W., Lee, S.W., Kim, S.K., Gatineau, J., Dussarrat, C., and Hwang, C.S.: Improvement in the leakage current characteristic of metal–insulator–metal capacitor by adopting RuO2 film as bottom electrode. Appl. Phys. Lett. 99, 022901 (2011).CrossRefGoogle Scholar
Jeon, W., Rha, S.H., Lee, W., An, C.H., Chung, M.J., Kim, S.H., Cho, C.J., Kim, S.K., and Hwang, C.S.: Asymmetry in electrical properties of Al-doped TiO2 film with respect to bias voltage. Phys. Status Solidi RRL 9, 410 (2015).CrossRefGoogle Scholar
Shim, J.H., Choi, H.J., Kim, Y., Torgersen, J., An, J., Lee, M.H., and Prinz, F.B.: Process-property relationship in high: K ALD SrTiO3 and BaTiO3: A review. J. Mater. Chem. C 5, 8000 (2017).CrossRefGoogle Scholar
Ulrich, R., Schaper, L., Nelms, D., and Leftwich, M.: Comparison of paraelectric and ferroelectric materials for applications as dielectrics in thin film integrated capacitors. Int. J. Microcircuits Electron. Packag. 23, 172 (2000).Google Scholar
Choudhury, B.K., Rao, K.V., and Choudhury, R.N.P.: Dielectric properties of SrTiO3 single crystals subjected to high electric fields and later irradiated with X-rays or γ-rays. J. Mater. Sci. 24, 3469 (1989).CrossRefGoogle Scholar
Dugu, S., Pavunny, S.P., Scott, J.F., and Katiyar, R.S.: Si:SrTiO3–Al2O3–Si:SrTiO3 multi-dielectric architecture for metal–insulator–metal capacitor applications. Appl. Phys. Lett. 109, 212901 (2016).CrossRefGoogle Scholar
Swerts, J., Popovici, M., Kaczer, B., Aoulaiche, M., Redolfi, A., Clima, S., Caillat, C., Wang, W.C., Afanasev, V.V., Jourdan, N., Olk, C., Hody, H., Van Elshocht, S., and Jurczak, M.: Leakage control in 0.4 nm EOT Ru/SrTiOx/Ru metal–insulator–metal capacitors: Process implications. IEEE Electron Device Lett. 35, 753 (2014).CrossRefGoogle Scholar
Mojarad, S.A., Kwa, K.S.K., Goss, J.P., Zhou, Z., Ponon, N.K., Appleby, D.J.R., Al-Hamadany, R.A.S., and O’Neill, A.: A comprehensive study on the leakage current mechanisms of Pt/SrTiO3/Pt capacitor. J. Appl. Phys. 111, 014503 (2012).CrossRefGoogle Scholar
Lee, C-K., Cho, E., Lee, H-S., Hwang, C.S., and Han, S.: First-principles study on doping and phase stability of HfO2. Phys. Rev. B 78, 012102 (2008).CrossRefGoogle Scholar
Tomida, K., Kita, K., and Toriumi, A.: Dielectric constant enhancement due to Si incorporation into HfO2. Appl. Phys. Lett. 89, 142902 (2006).CrossRefGoogle Scholar
Park, P.K. and Kang, S-W.: Enhancement of dielectric constant in HfO2 thin films by the addition of Al2O3. Appl. Phys. Lett. 89, 192905 (2006).CrossRefGoogle Scholar
Cho, D-Y., Jung, H.S., Yu, I-H., Yoon, J.H., Kim, H.K., Lee, S.Y., Jeon, S.H., Han, S., Kim, J.H., Park, T.J., Park, B-G., and Hwang, C.S.: Stabilization of tetragonal HfO2 under low active oxygen source environment in atomic layer deposition. Chem. Mater. 24, 3534 (2012).CrossRefGoogle Scholar
Jang, J.H., Jung, H-S., Kim, J.H., Lee, S.Y., Hwang, C.S., and Kim, M.: Investigation of oxygen-related defects and the electrical properties of atomic layer deposited HfO2 films using electron energy-loss spectroscopy. J. Appl. Phys. 109, 023718 (2011).CrossRefGoogle Scholar
Park, M.H., Kim, H.J., Kim, Y.J., Lee, W., Moon, T., and Hwang, C.S.: Evolution of phases and ferroelectric properties of thin Hf0.5Zr0.5O2 films according to the thickness and annealing temperature. Appl. Phys. Lett. 102, 242905 (2013).CrossRefGoogle Scholar
Park, M.H., Lee, Y.H., Kim, H.J., Kim, Y.J., Moon, T., Do Kim, K., Müller, J., Kersch, A., Schroeder, U., Mikolajick, T., and Hwang, C.S.: Ferroelectricity and antiferroelectricity of doped thin HfO2-based films. Adv. Mater. 27, 1811 (2015).CrossRefGoogle ScholarPubMed
Park, M.H., Kim, H.J., Kim, Y.J., Moon, T., and Hwang, C.S.: The effects of crystallographic orientation and strain of thin Hf0.5Zr0.5O2 film on its ferroelectricity. Appl. Phys. Lett. 104, 072901 (2014).CrossRefGoogle Scholar
Garvie, R.C.: The occurrence of metastable tetragonal zirconia as a crystallite size effect. J. Phys. Chem. 69, 1238 (1965).CrossRefGoogle Scholar
Garvie, R.C.: Stabilization of the tetragonal structure in zirconia microcrystals. J. Phys. Chem. 82, 218 (1978).CrossRefGoogle Scholar
Pitcher, M.W., Ushakov, S.V., Navrotsky, A., Woodfield, B.F., Li, G., Boerio-Goates, J., and Tissue, B.M.: Energy crossovers in nanocrystalline zirconia. J. Am. Ceram. Soc. 88, 160 (2005).CrossRefGoogle Scholar
Shandalov, M. and McIntyre, P.C.: Size-dependent polymorphism in HfO2 nanotubes and nanoscale thin films. J. Appl. Phys. 106, 084322 (2009).CrossRefGoogle Scholar
Kim, S.K., Kim, W.D., Kim, K.M., Hwang, C.S., and Jeong, J.: High dielectric constant TiO2 thin films on a Ru electrode grown at 250 °C by atomic-layer deposition. Appl. Phys. Lett. 85, 4112 (2004).CrossRefGoogle Scholar
Kim, S.K., Han, S., Han, J.H., Lee, W., and Hwang, C.S.: Atomic layer deposition of TiO2 and Al-doped TiO2 films on Ir substrates for ultralow leakage currents. Phys. Status Solidi RRL 5, 262 (2011).CrossRefGoogle Scholar
Lee, W., Cho, C.J., Lee, W.C., Hwang, C.S., Chang, R.P.H., and Kim, S.K.: MoO2 as a thermally stable oxide electrode for dynamic random-access memory capacitors. J. Mater. Chem. C 6, 13250 (2018).CrossRefGoogle Scholar
Lee, D-K., Kwon, S-H., and Ahn, J-H.: Growth of rutile-TiO2 thin films via Sn doping and insertion of ultra-thin SnO2 interlayer by atomic layer deposition. Mater. Lett. 246, 1 (2019).CrossRefGoogle Scholar
Lee, S.W., Han, J.H., Kwon, O.S., and Hwang, C.S.: Influences of a crystalline seed layer during atomic layer deposition of SrTiO3 thin films using Ti(O-iPr)2(thd)2, Sr(thd)2, and H2O. J. Electrochem. Soc. 155, G253 (2008).CrossRefGoogle Scholar
Lee, W., Jeon, W., An, C.H., Chung, M.J., Kim, H.J., Eom, T., George, S.M., Park, B.K., Han, J.H., Kim, C.G., Chung, T-M., Lee, S.W., and Hwang, C.S.: Improved initial growth behavior of SrO and SrTiO3 films grown by atomic layer deposition using {Sr(demamp)(tmhd)}2 as Sr-precursor. Chem. Mater. 27, 38813891 (2015).CrossRefGoogle Scholar
Kosola, A., Putkonen, M., Johansson, L-S., and Niinistö, L.: Effect of annealing in processing of strontium titanate thin films by ALD. Appl. Surf. Sci. 211, 102 (2003).CrossRefGoogle Scholar
Vehkamäki, M., Hänninen, T., Ritala, M., Leskelä, M., Sajavaara, T., Rauhala, E., and Keinonen, J.: Atomic layer deposition of SrTiO3 thin films from a novel strontium precursor-strontium-bis(tri-isopropyl cyclopentadienyl). Chem. Vap. Depos. 7, 75 (2001).3.0.CO;2-B>CrossRefGoogle Scholar
Lee, W., Han, J.H., Jeon, W., Yoo, Y.W., Lee, S.W., Kim, S.K., Ko, C-H., Lansalot-Matras, C., and Hwang, C.S.: Atomic layer deposition of SrTiO3 films with cyclopentadienyl-based precursors for metal–insulator–metal capacitors. Chem. Mater. 25, 953 (2013).CrossRefGoogle Scholar
Lee, S.W., Han, J.H., Han, S., Lee, W., Jang, J.H., Seo, M., Kim, S.K., Dussarrat, C., Gatineau, J., Min, Y-S., and Hwang, C.S.: Atomic layer deposition of SrTiO3 thin films with highly enhanced growth rate for ultrahigh density capacitors. Chem. Mater. 23, 2227 (2011).CrossRefGoogle Scholar
Kil, D-S., Lee, J-M., and Roh, J-S.: Low-temperature ALD growth of SrTiO3 thin films from Sr β-diketonates and Ti alkoxide precursors using oxygen remote plasma as an oxidation source. Chem. Vap. Depos. 8, 195 (2002).3.0.CO;2-9>CrossRefGoogle Scholar
Kwon, O.S., Kim, S.K., Cho, M., Hwang, C.S., and Jeong, J.: Chemically conformal ALD of SrTiO3 thin films using conventional metallorganic precursors. J. Electrochem. Soc. 152, C229 (2005).CrossRefGoogle Scholar
Popovici, M., Tomida, K., Swerts, J., Favia, P., Delabie, A., Bender, H., Adelmann, C., Tielens, H., Brijs, B., Kaczer, B., Pawlak, M.A., Kim, M-S., Altimime, L., Van Elshocht, S., and Kittl, J.A.: A comparative study of the microstructure-dielectric properties of crystalline SrTiO3 ALD films obtained via seed layer approach. Phys. Status Solidi 208, 1920 (2011).CrossRefGoogle Scholar
Chung, M.J., Jeon, W., An, C.H., Kim, S.H., Lee, Y.K., Lee, W., and Hwang, C.S.: Quantitative analysis of the incorporation behaviors of Sr and Ti atoms during the atomic layer deposition of SrTiO3 thin films. ACS Appl. Mater. Interfaces 10, 8836 (2018).CrossRefGoogle ScholarPubMed
Stengel, M. and Spaldin, N.A.: Origin of the dielectric dead layer in nanoscale capacitors. Nature 443, 679 (2006).CrossRefGoogle ScholarPubMed
Hwang, C.S.: Thickness-dependent dielectric constants of (Ba, Sr)TiO3 thin films with Pt or conducting oxide electrodes. J. Appl. Phys. 92, 432 (2002).CrossRefGoogle Scholar
Kim, H.K., Yu, I-H., Lee, J.H., Park, T.J., and Hwang, C.S.: Controlling work function and damaging effects of sputtered RuO2 gate electrodes by changing oxygen gas ratio during sputtering. ACS Appl. Mater. Interfaces 5, 1327 (2013).CrossRefGoogle Scholar
Kyeom Kim, H., Yu, I-H., Lee, J.H., Park, T.J., and Seong Hwang, C.: Scaling of equivalent oxide thickness of atomic layer deposited HfO2 film using RuO2 electrodes suppressing the dielectric dead-layer effect. Appl. Phys. Lett. 101, 172910 (2012).CrossRefGoogle Scholar
Jeon, W., Yoo, S., Kim, H.K., Lee, W., An, C.H., Chung, M.J., Cho, C.J., Kim, S.K., and Hwang, C.S.: Evaluating the top electrode material for achieving an equivalent oxide thickness smaller than 0.4 nm from an Al-doped TiO2 film. ACS Appl. Mater. Interfaces 6, 21632 (2014).CrossRefGoogle Scholar
Onaya, T., Nabatame, T., Sawada, T., Kurishima, K., Sawamoto, N., Ohi, A., Chikyow, T., and Ogura, A.: Role of high-k interlayer in ZrO2/high-k/ZrO2 insulating multilayer on electrical properties for DRAM capacitor. ECS Trans. 75, 667 (2016).CrossRefGoogle Scholar
Kwon, H.M., Han, I.S., Park, S.U., Bok, J.D., Jung, Y.J., Shin, H.S., Kang, C.Y., Lee, B.H., Jammy, R., Lee, G.W., and Lee, H.D.: Conduction mechanism and reliability characteristics of a metal–insulator–metal capacitor with single ZrO2 layer. Jpn. J. Appl. Phys. 50, 3 (2011).CrossRefGoogle Scholar
Kim, J.H., Ignatova, V., Kücher, P., Heitmann, J., Oberbeck, L., and Schröder, U.: Physical and electrical characterization of high-k ZrO2 metal–insulator–metal capacitor. Thin Solid Films 516, 8333 (2008).CrossRefGoogle Scholar
Monaghan, S., Cherkaoui, K., Connor, É.O., Djara, V., Hurley, P.K., Oberbeck, L., Tois, E., Wilde, L., and Teichert, S.: TiN/ZrO2/Ti/Al metal–insulator–metal capacitors with subnanometer CET using ALD-deposited ZrO2 for DRAM applications. IEEE Electron. Device Lett. 30(3), 219 (2009).CrossRefGoogle Scholar
Figure 0

Figure 1: Band gap versus dielectric constant of various high-k materials. [Reprinted with permission from Ref. 11 under CC BY-NC-SA 4.0 license. Copyright (2015) Springer Nature.]

Figure 1

Figure 2: Various leakage current conduction mechanisms on high-k material. [Reprinted with permission from Ref. 6. Copyright (2010) IEEE.]

Figure 2

Figure 3: Dominant leakage current conduction mechanism depicted on JE curves of the 7.2 nm thick ZrO2 thin film. [Reprinted with permission from Ref. 27. Copyright (2013) AIP Publishing.]

Figure 3

Figure 4: (A) AFM and c-AFM image of ZrO2 and ZAZ thin films. [Reprinted with permission from Ref. 35. Copyright (2013) AIP Publishing.] (B) Roughness of the ZAZ thin film with respect to the deposition cycle of Al2O3 (inset) cross section TEM image of the ZAZ thin film. (C) Cross section TEM image of the TiN/ZAZ/TiN DRAM capacitor structure. (D) IV curves of MIM capacitors using ZrO2 and ZAZ as an insulator layer. [Reprinted with permission from Ref. 41. Copyright (2006) IEEE.]

Figure 4

Figure 5: (a) Simulated energy-band diagram of a 7.5 nm TiN/ZrO2/Al2O3/ZrO2/TiO2/TiN stack, showing schematically Poole–Frenkel emission and Schottky-emission. [Reprinted with permission from Ref. 31. Copyright (2014) IEEE.] (b) JV curves and (c) the CBO of (bottom) TiN/HfO2–Al2O3–HfO2/Ti (top) with various Al2O3 inserting location (inset) valence band spectra of the HfO2–Al2O3–HfO2 films on TiN (left-bottom). [Reprinted with permission from Ref. 42. Copyright (2019) IEEE.] (d) and (e) Schematic energy band diagram of TiN/HfO2–Al2O3–HfO2/Ti capacitors.

Figure 5

Figure 6: (a) JE characteristics of the MIM capacitors with different top electrodes (inset): JE characteristics of the MIM capacitors with the (opened) Pt top electrode and (closed) Pt top electrode and using O3 treatment. [Reprinted with permission from Ref. 43. Copyright (2019) John Wiley and Sons] (b) JV curves of MIM structures consisted of TiN for the bottom electrode, 5 nm thick ZAZ for the insulator, and TiN (blue), RuO2 (green), and Pt (red) for the top electrode, respectively. [Reprinted with permission from Ref. 34. Copyright (2018) IEEE.] (c) Leakage current density measured at the top electrode (JTE) in dependence of the CET at 0 V of MIM capacitors with TiN electrodes and as deposited and annealed (PDA at 650 °C for 20 s in N2) ZSZ, and ZAZ films as the dielectric. The included numbers reveal the nominal layer thicknesses. [Reprinted with permission from Ref. 27. Copyright (2013) AIP Publishing.] (d) Variations in J as a function of tox at a +0.8 V applied voltage. The solid lines were added for eye guidance. [Reprinted with permission from Ref. 43. Copyright (2019) John Wiley and Sons.]

Figure 6

Figure 7: (a) Dielectric constant and leakage current of TiO2/Al2O3 mixed films. The TiO2 sublayer thickness is 40 Å, and the Al2O3 sublayer thickness is 5, 10, and 20 Å, respectively. (b) Dielectric constant and leakage current of anatase-TiO2/Al2O3/anatase-TiO2 films. The TiO2 sublayer thickness is 40 Å, and the Al2O3 sublayer thickness is 10, 12.5, 15, and 20 Å, respectively. [Reprinted with permission from Ref. 50. Copyright (2008) The Electrochemical Society.]

Figure 7

Figure 8: (a) J @ 0.8 V versus tox for the TiO2 and Al-doped TiO2 thin films with various subcycle ratio. [Reprinted with permission from Ref. 51. Copyright (2008) John Wiley and Sons.] (b) The negative and (c) positive JV characteristics of the Pt/TiO2/RuO2 capacitor with elevating measurement temperature from 318 to 368 K (inset in b and c). (d) Change in the leakage current density of the Pt/ATO/RuO2 (or Ru) capacitors as a function of the applied bias voltage. [Reprinted with permission from Ref. 54. Copyright (2011) AIP Publishing.]

Figure 8

Figure 9: (A) JV curves of the ATO samples with various Al locations (inset) ln(J/T2) versus E1/2 plots of the low–electric field region to confirm the Schottky emission mechanism. (B) Variation of the CBO as a function of the Al concentration of rutile (red) and anatase (blue) TiO2. The left and right panels of the inset show the valence band spectra of the rutile and anatase TiO2 films, respectively. [Reprinted with permission from Ref. 53. Copyright (2014) American Chemical Society.] (C) CV curves of the various ATO films. The number in each graph indicates the number of TiO2 ALD cycles before the single Al2O3 ALD cycle was commenced (right-bottom). Applied bias on the top electrode where the maximum capacitance was acquired for various ATO films. [Reprinted with permission from Ref. 55. Copyright (2015) John Wiley and Sons.]

Figure 9

Figure 10: (a) Leakage current density of the dielectric stack with a CET value of 2.4 nm measured at four different temperatures. (inset-left) Room-temperature Schottky plot for the stack with a CET value of 2.4 nm. (inset-right) Leakage current values of the four stacks versus capacitance density measured at 300 K and at 1 V. [Reprinted with permission from Ref. 59. Copyright (2016) AIP Publishing.] (b) (left) Current density as a function of the gate bias for the Ru/SrTiOx/Ru stack with TiN deposited at 25 and 200 °C. (inset) Current as function of capacitor area, (right) current density as a function of the gate bias measured at different temperatures (symbols) and fitted using the Poole–Frenkel model (lines). (inset) The extracted Poole–Frenkel trap depth at + and −1 V. [Reprinted with permission from Ref. 60. Copyright (2014) IEEE.] (c) (left) Negative voltage bias at the top electrode and low injection of electrons to the bottom electrode due to the large distance of oxygen vacancies from the bottom electrode. (right) Positive voltage bias at the top electrode and high injection of electrons to vacancies due to the small distance between oxygen vacancies and the bottom electrode. [Reprinted with permission from Ref. 61. Copyright (2012) AIP Publishing.]

Figure 10

Figure 11: GAXRD patterns of the postannealed (a) Al-doped ZrO2 and (b) Al-doped ZrO2 films with different Al concentrations. [Reprinted with permission from Ref. 21. Copyright (2014) American Chemical Society.] (c) Variations in (left) the dielectric constant and (right) leakage current density at 1 MV/cm (blue) and breakdown electric field (red), as functions of ALD cycle ratio between HfO2 and Al2O3 [Reprinted with permission from Ref. 25. Copyright (2016) American Chemical Society.] (d) Dielectric constants of Hf aluminate films with respect to the number of unit cycles in a HfO2 subcycle before and after the annealing process. [Reprinted with permission from Ref. 64. Copyright (2006) AIP Publishing.] (e) Variations in the dielectric constant of the Al-doped HfO2 and Al-doped ZrO2 films as a function of the Al concentration. [Reprinted with permission from Ref. 21. Copyright (2014) American Chemical Society.] (f) Relative stabilities between phases for different Al doping concentrations. [Reprinted with permission from Ref. 25. Copyright (2016) American Chemical Society.]

Figure 11

Figure 12: (a) Schematic diagram of the formation of RuO2 and resulting rutile-phased TiO2 thin film during TiO2 ALD with O3 as an oxidant. (b) XRD spectra of TiO2 thin film deposited on Ru with (upper) H2O and (lower) O3 as an oxidant, respectively. (c) Variations in the oxide equivalent thickness of TiO2 films grown on Ru with H2O and O3 as an oxidant, respectively, indicating bulk dielectric constants. [Reprinted with permission from Ref. 74. Copyright (2004) AIP Publishing.]

Figure 12

Figure 13: (a) Peak fits of Ti 2p spectra of STO films deposited by (left) [TOSO] and (right) [TOTOSHSH]. (b) XRD spectra of the STO films deposited by [TOTOSHSH] (H2O) and [TOSO] (O3) sequences. (c) Variation of equivalent oxide thickness as a function of the physical thickness of STO films with the STO films deposited by [TOSO] and [TOTOSHSH] sequences. (d) Cross-sectional image of the MIM structure consisted with STO, and (right) magnified image of the STO film right above the bottom Ru electrode [Reprinted with permission from Ref. 87. Copyright (2018) American Chemical Society].

Figure 13

Figure 14: (A) (a) Difference in the CV curve of the 9.5 nm thick ATO film (5% Al) deposited on RuO2 with respect to RuO2 (red) and Pt (black) TE, and before (open) and after (closed) the PMA process. (b) tox (left axis) versus tphy of the TiO2 and ATO films for RuO2 (closed) and Pt (open) TE, and EOT difference (right axis) between Pt and RuO2 TE for each TiO2 and ATO film. (B) Cross-sectional TEM images of the MIM structure with (left) Pt and (right) RuO2 top electrode. [Reprinted with permission from Ref. 92. Copyright (2014) American Chemical Society.]