Hostname: page-component-7c8c6479df-fqc5m Total loading time: 0 Render date: 2024-03-29T06:57:13.968Z Has data issue: false hasContentIssue false

Preparation of stoichiometric GaN(0001)−1×1: an XPS study

Published online by Cambridge University Press:  13 June 2014

S.M. Widstrand
Affiliation:
Karlstad University, Materials Physics, Universitetsgatan 2, 651 88 Karlstad, Sweden
K.O. Magnusson
Affiliation:
Karlstad University, Materials Physics, Universitetsgatan 2, 651 88 Karlstad, Sweden
L.S.O. Johansson
Affiliation:
Karlstad University, Materials Physics, Universitetsgatan 2, 651 88 Karlstad, Sweden
E. Moons
Affiliation:
Karlstad University, Materials Physics, Universitetsgatan 2, 651 88 Karlstad, Sweden
M. Gurnett
Affiliation:
Karlstad University, Materials Physics, Universitetsgatan 2, 651 88 Karlstad, Sweden
H.W. Yeom
Affiliation:
Yonsei University, Center for Atomic Wires and Layers Institute of Physics and Applied Physics, 134 Shinchon, Seoul 120-749, Korea
H. Miki
Affiliation:
Showa Denko KK, Chichibu Research Labarotory, Central Research Laboratory, 1505 Shimokagemori, Chichibu-shi, Saitama 369-1871, Japan
M. Oshima
Affiliation:
University of Tokyo, Department of Applied Chemistry, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656, Japan

Abstract

We report on the investigation of GaN(0001)−1×1 using synchrotron radiation x-ray excited photoelectron spectra from the core levels Ga 3p and N 1s, as well as from the contaminants O 1s and C 1s. Measurements were done after outgassing (a) and during three surface treatment methods performed in sequence; (b) ammonia (NH3) flux anneals, (c) Ga deposition with sample held at room temperature followed by vacuum anneal, and (d) Ga deposition on a heated sample followed by Ga desorption during NH3 flux anneal. We have found that the initial NH3 flux anneals increased the amount of present N on the surface and enabled the formation of a well-ordered surface structure, according to the low energy electron diffraction (LEED) pattern. After treatment (b) and (d) the core level spectra of Ga 3p are much improved showing clearly distinct features indicative of increased Ga-N bonding. The Ga to N concentration ratio decreases during the surface treatments from 4.0 to 1.1, hence towards stoichiometry. The amounts of C (and O) present on the surface after outgassing corresponded to 1.1 (0.9) monolayers (ML) but reduced to 0.1 (0.1) ML after the final treatment (d). The Fermi level position in the band gap shifts down by 0.55 eV during the surface treatments, indicating a change of states present in the band gap. We have also found strong support that this Ga-polar sample is initially Ga-terminated.

Type
Research Article
Copyright
Copyright © 2004 Materials Research Society

1. Introduction

The interest for III-V nitride semiconductors is continuously increasing. The fact that gallium nitride (GaN) has a wide direct band gap of 3.50 eV Reference Monemar[1] at room temperature makes it useful in lasers Reference Nakamura, Senoh, Nagahama, Iwasa, Yamada, Matsushita, Kiyoku, Sugimoto, Kozaki, Umemoto, Sano and Chocho[2] and light emitting diodes (LED) Reference Nakamura, Senoh, Iwasa and Nagahama[3] with green or blue emission. Due to the short wavelength, blue lasers could write at least four times more information on optical storage devices such as compact discs, than the currently used red lasers. Another application for blue lasers is in dentistry for curing composite filling material Reference Mills[4].

In this work, a GaN film grown by metal-organic chemical vapour deposition (MOCVD) on sapphire is studied using x-ray photoelectron spectroscopy (XPS). This reveals how the core levels of the two constituents, gallium (Ga) 3p and nitrogen (N) 1s, are affected by different surface treatments. We also examine how these treatments affect the 1s core levels of the contaminants oxygen (O) and carbon (C). XPS measurements of the GaN core levels and of the O 1s and C 1s contamination core levels after different surface treatments have been performed earlier by King et al. Reference King, Barnak, Bremser, Tracy, Ronning and Davis[5]. They used a GaN film epitaxially deposited on an aluminium nitride (AlN) buffer layer grown on 6H-SiC(0001) by organometallic vapour phase epitaxy (OMVPE) and gas source-molecular beam epitaxy (GSMBE). King et al. studied several cleaning methods, both ex-situ wet chemical processes, and in-situ chemical vapour cleaning and plasma processes and found that only NH3 flux anneal at 700-800 °C produced atomically clean and stoichiometric GaN surfaces with about 1/10th ML of O. Hedman et al. Reference Hedman and Martensson[6] have also performed XPS on a GaN film grown by vapour phase epitaxy (VPE) on a [0 0 0 1]-oriented sapphire substrate, they performed ex-situ etching and vacuum anneal up to 300 °C, with the result that the O 1s and C 1s signals could not be completely removed. For surveys of cleaning procedures see e.g. Smith et al. Reference Smith, King, Nemanich and Davis[7], Bermudez et al. Reference Bermudez, Koleske and Wickenden[8], and King et al. Reference King, Barnak, Bremser, Tracy, Ronning and Davis[5].

The surface preparation methods applied in this study were performed in sequence and consisted of anneal in an NH3 flux, Ga deposition at room temperature (RT) followed by vacuum anneal, and Ga deposition on a heated sample followed by NH3 flux annealing, see ref. Reference Widstrand, Magnusson, Larsson, Johansson, Gustafsson, Moons, Miki, Oshima and Yeom[9].

2. Experimental details

The sample studied was a wurtzite structure GaN film, n-doped (Si) with a carrier concentration of n=4.65×1017 cm−3 and a Hall electron mobility of μH=376.5 cm2V−1 s−1. The doped GaN layer had a thickness of 0.72 μm, on top of an un-doped GaN layer of 1.0 μm and a 20 nm buffer layer, grown on a sapphire (Al2O3) substrate by MOCVD. Photoluminescence measurements at 4.2 K performed at Okayama University of Science, Japan, showed that this sample has a band gap of 3.49 eV. The sample surface normal was in the (0001) Ga-polar direction, as determined by an etching method Reference Widstrand, Magnusson, Larsson, Johansson, Gustafsson, Moons, Miki, Oshima and Yeom[9] Reference Rouviere, Weyher, Seelmann-Eggebert and Porowski[10]. Whether a sample of Ga-polarity is Ga or N-terminated is debated. Rouviere et al. Reference Rouviere, Weyher, Seelmann-Eggebert and Porowski[10] suggested a Ga-termination based on the fact that this would give the fewest number of dangling bonds on an unreconstructed surface. On the other hand, Feenstra et al. Reference Feenstra, Chen, Ramachandran, Smith and Greve[11] used scanning tunnelling microscopy (STM) and electron diffraction on a molecular beam epitaxy (MBE) grown sample, revealing that both the Ga- and N-polar samples have a Ga-termination for an unreconstructed surface, which seem to be in contradiction with the arguments presented by Rouviere et al for the N-polar surface. The stoichiometric ratio of Ga to N bounded in a wurtzite structure is expected to be close to one, since the structure is composed of closely spaced double layers consisting of one Ga and one N layer, respectively.

The sample was cleaned ultrasonically in acetone, ethanol, and methanol, and dried in a nitrogen (N) gas flow. The sample was then mounted on a tantalum (Ta) foil so the backside was totally covered, with Ta clips on the surface to avoid surface charging, and introduced into the ultra high vacuum system. For the heating of the sample, resistive heating of the Ta foil was used and the temperature was measured with an infrared pyrometer. Sample heating was performed at a rate of about 75 °C/min, to maintain approximate thermal equilibrium between sample and Ta.

The XPS experiment was performed at MAX-Lab synchrotron radiation laboratory in Lund, Sweden. The measurements were performed on the 38 period undulator beamline I311 Reference Nyholm, Andersen, Johansson, Jensen and Lindau[12], with the photon energy range 50-1500 eV. The beamline is equipped with a hemispherical electron energy analyser (SCIENTA SES200) and a modified SX-700 monochromator with a 1220 lines/mm grating, a spherical focusing mirror and a movable exit slit. The system consists of separate analyser and preparation chamber accessible via a long-travel manipulator. The total energy resolution of the analyser and the monochromator was set to 40-100 meV in this experiment. The base pressure in the analyser chamber was 7×10−11 mbar. The Fermi level position was determined from a clean platinum (Pt) foil in good electrical contact with the sample. The angle between the incidence of the light and the analyser lens is 55°, and all of the presented spectra were recorded in normal emission. The synchrotron radiation is linearly polarised with the polarisation in the plane of the photoelectron detection.

3. Results

An overview of the subsequent surface treatments and their corresponding labels is shown in Table 1, and will be described in detail below.

Table 1. Subsequently performed surface treatments and their corresponding labels.

3.1 (a) Outgassing

In the ultra high vacuum (UHV) chamber the sample was first outgassed at 550 °C for 2 h, here called surface treatment (a). The corresponding spectra from Ga 3p, N 1s, O 1s, and C 1s, are shown in Figure 1-4, labelled (a), and monitor the reference state of the sample before further treatments. We also detected chlorine (Cl) on the surface after outgassing by identification of the Cl 2p structure in the spectra (not shown). However, the signal disappeared after a few NH3 flux anneals. The origin of the Cl on the surface is at present unclear to us.

All presented spectra in this work are normalised to the integrated photon intensity measured during each measurement and energies are related to the Fermi level, EF =0 eV.

3.2 (b) Series of NH3 flux anneals

The second surface treatment consisted of a series of anneals at 750 °C under a NH3 pressure of 1×10−6 mbar (and a final one at 800 °C) for 20 min each, for a total time of 120 min. The reason for the raised sample temperature to 800 °C for the last NH3 flux anneal was that the decontamination effect had saturated, according to the integrated intensity of the O 1s and C 1s peaks. Still, this increase of the annealing temperature did not further reduce the O 1s or the C 1s intensities. Photoelectron spectra from Ga 3p, N 1s, O 1s, and C 1s, after the last of these anneals are shown in Figure 1(b)-4(b), respectively.

This treatment decreased the total intensity of the Ga 3p signal, as shown in Figure 1(b). According to Hedman et al. Reference Hedman and Martensson[6] and Antonides et al. Reference Antonides, Janse and Sawatzky[13], the signal originate from Ga 3p1/2 ,3/2 in GaN and in pure Ga, the relative positions of the structures are indicated with symbols in Figure 1. Comparing Figure 1(b) with 1(a), it is apparent that the shoulder at 105.5 eV has become more pronounced. The main peak has moved by 0.47 eV to 103.35 eV, towards lower binding energy compared to after outgassing. In Figure 2(b) the N 1s structure has an increased intensity by a factor of ~1.6, a peak displacement of 0.48 eV towards lower binding energies, and a FWHM increase of 0.1 eV compared to Figure 2(a). A good 1×1 LEED pattern was achieved after the third NH3 flux anneal, as seen in Figure 6(a), with small sharp spots on a low background. The treatment (b) reduced the integrated intensities of O 1s and C 1s by a factor of ~2, see Figure 3(b) and Figure 4(b).

Figure 1. Photoelectron spectra of Ga 3p, after surface treatments, labelled according to Table 1. Filled and open symbols are features associated with the positions of Ga 3p1/2,3/2 in pure Ga and in GaN Reference Hedman and Martensson[6], respectively.

Figure 2. Photoelectron spectra of the N 1s peak, after surface treatments see Table 1.

Figure 3. Photoelectron spectra of O 1s, after surface treatments see Table 1.

Figure 4. Photoelectron spectra of C 1s, after surface treatments see Table 1.

3.3 (c) Ga deposition with GaN sample at room temperature, followed by Ga desorption by vacuum anneal

After treatment (b) the LEED pattern was of good quality, but the Ga/N ratio still too high, compared to the ideal value of about 1. The presence of O and C on the surface was also high, therefore, we continued with a different preparation step.

The following treatment was Ga deposition equivalent to 1.5 ML, (1 ML is equivalent to 9.84×1014 Ga atoms/cm2), performed with the GaN sample at RT, followed by a vacuum anneal at 700 °C (earlier experiments have showed that a sample temperature of 650-700 °C is sufficient for the removal of excess Ga), for 10 min. The amount of deposited Ga was measured with a piezoelectric crystal deposition thickness monitor.

The surface treatment (c) resulted in a reduction of the 105.5 eV shoulder in the Ga 3p spectrum, as apparent from Figure 1(c). The structures of the Ga 3p and N 1s have both slightly moved towards higher binding energies compared with treatment (b) by 0.04 and 0.11 eV, respectively. The N 1s peak in Figure 2(c) has a similar intensity as before the treatment. Nor was the intensity of the O 1s feature affected Figure 3 (c) but, treatment (c) yielded a slight increase in the integrated intensity of the C 1s peak by a factor of 1.3, as seen in Figure 4(c).

3.4 (d) Ga deposition during heating of the sample, followed by NH3 flux anneal

In the last of the successive surface cleaning treatments, with spectra marked (d), in Figure 1-4, the Ga deposition procedure was modified by simultaneously heating the sample to 650 °C, to create a simultaneous adsorption-desorption situation for further removal of excess Ga and possible surface Ga-O, O, and C components. Bermudez et al. Reference Bermudez and Long[14] have found evidence for Ga-O bonding features on a Ga-polar surface. The Ga deposition corresponded to 4 ML and was performed during 10 min. The heating of the sample started 2.5 minutes before deposition and lasted for 2 minutes after the deposition. This step was finished by a NH3 flux anneal to increase the amount of N atoms on the surface, for additional improvement of the Ga/N ratio.

The result for Ga 3p is shown in Figure 1(d), where the integrated intensity has not changed significantly but the main peak at about 103.6 eV, the metallic Ga 3p3/2 component Reference Antonides, Janse and Sawatzky[13], has decreased by a factor of ~1.4, and the middle feature at 105.5 eV composed of the Ga 3p3/2 structure from GaN and the Ga 3p1/2 structure from Ga, is now again more clearly revealed. The change in the N 1s peak in Figure 2(d) is the appearance of a more pronounced tail on the high binding energy side, causing a slight increase in the FWHM and a small increase of the peak intensity. Both the Ga 3p and the N 1s structures have moved to lower binding energies compared to (c), by 0.13 and 0.14 eV, respectively. A summery of the changes in energy positions of the Ga 3p and N 1s core levels relative the peak position after the treatment (d) is made in Figure 5.

Figure 5. Changes in energy positions of Ga 3p and N 1s, measured relative to EF, as displayed in Figure 1 and 2. The energy position after the final surface treatment labelled (d) has been set to zero.

The corresponding LEED pattern after treatment (d), is seen in Figure 6(b) and is similar to the LEED pattern after treatment (b). The results for the contaminants O and C are shown in Figure 3(d) and 4(d), respectively. The spectrum of O 1s shows only a slight decrease of the intensity while the intensity of C 1s has reduced by a factor of ~2. The O 1s feature is clearly composed of at least two chemically shifted components, King et al. Reference King, Barnak, Bremser, Tracy, Ronning and Davis[5] have reported similar results and suggests, based on an ultraviolet/ozone (UV/O3) experiment that they are O2− and OH species.

Figure 6. LEED patterns, (a) after the third NH3 flux anneal, the electron kinetic energy was 104 eV, (b) after the last surface cleaning consisting of Ga deposition during heating followed by NH3 flux anneal, the electron kinetic energy was 147 eV.

A diagram is presented in Figure 7 showing how the Ga to N concentration ratio is changing after the different subsequential treatments. The concentration ratio is estimated from the relation

(1)

Figure 7. The Ga to N concentration ratio, after surface treatments see Table 1.

where n is the number of atoms, I is the integrated intensity of the relevant core level in the spectrum (Ga 3p and N 1s) and σ is the photoemission cross section Reference Yeh and Lindau[15]. After outgassing (a), the concentration ratio of Ga to N was 4.0. After treatment (b) NH3 flux anneals, the Ga 3p intensity has decreased and the N 1s intensity increased, so Ga to N ratio was now 2.2. After the surface treatments (c), and (d), the Ga to N ratio was further reduced to 1.9 and 1.1, respectively.

A diagram showing the coverage in units of ML, calculated by similar equations to equation 1, of O and C to N+Ga, respectively, is shown in Figure 8. The O coverage is decreasing for each surface treatment, with the largest reduction from 0.9 ML to 0.3 ML after the first treatment (b) NH3 flux anneals. The final coverage of O was 0.1 ML after treatment (d). The C coverage also shows the largest reduction from 1.1 ML to 0.3 ML after the first treatment (b). The final coverage of C was 0.1 ML after treatment (d).

Figure 8. Fraction of ML of O to N +Ga and C to N +Ga, after surface treatments see Table 1.

4. Discussion

4.1 Core levels

We have observed that the ratio of Ga to N concentration has decreased during treatment (b). This ratio is maintained during the following treatment (c), although no extra N was added during this treatment. The large reduction of Ga and increase of present N during the surface treatment (b) strengthens the argument for an initial Ga-termination on this Ga-polar surface. The Ga to N ratio has in total decreased from 4.0 after outgassning (a) to a value of 1.1 after treatment (d). Similar findings were reported by Oliver et al. Reference Oliver, Norenberg, Martin, Crossley, Castell and Briggs[16] and Tracy et al. Reference Tracy, Mecouch, Davis and Nemanich[17] . The decrease is smallest during treatment (c) where no ammonia is supplied, which leads us to the interpretation that the improvement towards stoichiometry is mainly due to excess Ga on the surface reacting with the ammonia- supplied N (as in (b) and (d)), and being incorporated into or adsorbed on the surface, similar to GaN growth. The excess Ga on the surface, as compared to the stoichiometric amount, may be in a Ga-rich, metallic film or in an oxidized state. The initially strong Ga 3p feature at about 103.6 eV could indicate a partly metallic state in the top layer Reference Antonides, Janse and Sawatzky[13]. The large reduction of Ga is accompanied with a substantial reduction of O, indicating the removal of Ga-oxide. However, the ratio between the removed Ga and the removed O shows that not all Ga could have been in e.g. Ga2O3.

The subsequently following surface treatments (b) and (d), had also significant effects on the features in the Ga 3p spectrum, since the components in this structure became more clearly revealed. According to the interpretation of the components of the Ga 3p structure Reference Hedman and Martensson[6], the Ga 3p component associated with GaN is increasing, while the Ga component from Ga bound to Ga is decreasing in intensity. This is an interesting result, since treatment (b) increases the N amount on the surface and treatment (d), Ga deposition during sample heating followed by NH3 flux anneal, is the method that closest resemble GaN growth by MBE.

Figure 5 illustrates the effect of the surface treatments on the energy positions of the Ga 3p and N 1s structures, measured relative EF, with the position after treatment (d) set to zero. The shifts of the Ga 3p and the N 1s peaks are of the same size and follow the same trend.

Hence, the shifts may be assigned to changes of the Fermi level position in the band gap, causing changes in the band bending. Treatments (b) and (d) decreases the distance between the Fermi level and the core level energy positions, while (c) had a slightly opposite effect.

The Fermi level in the bulk of the sample can be estimated from the doping to lie 3.40 eV above the VBM. Waldrop et al. Reference Waldrop and Grant[18] have used XPS to determine the energy difference between Ga 3d ( half-width at half maximum) and the VBM to be 17.76±0.03 eV. Using this value, for comparison, we find a predicted Fermi level position of 2.4±0.1 eV above the VBM after treatment (d). Hence, this would give an upward band bending of about 1 eV. Wang et al. Reference Wang, Kruger and Pollmann[19] have calculated the surface electronic structure of the ideal relaxed GaN(0001) surface and find it to be metallic due to a partially filled dangling-bond surface state. They also find the monolayer of Ga covered surface to be metallic. The Fermi level would in both cases be pinned close to the conduction band, yielding an upward band bending at the surface. In the present study, we cannot confirm the predicted metallic surface but observe an upward band bending. Further work using angle-resolved ultraviolet photoelectron spectroscopy (ARUPS) could help to resolve this.

4.2 Contaminations

The surface treatment (b) had a significant effect on reducing the contamination levels of O and C on the sample surface. However, there was only a minor further reduction of these contaminants after additional cycles, in agreement with our earlier results, see ref. Reference Widstrand, Magnusson, Larsson, Johansson, Gustafsson, Moons, Miki, Oshima and Yeom[9]. Hence, the NH3 flux anneal treatment is not sufficient for the complete removal of C or O from a GaN surface. This is partly in agreement with the results of Bermudez et al. Reference Bermudez, Koleske and Wickenden[8] who found that this method removed all C but left some O, and King et al. Reference King, Barnak, Bremser, Tracy, Ronning and Davis[5] who found that NH3 flux anneal at 700-800 °C produced surfaces with no C but leaves 1/10th ML of O. In contrast, a recent study by Tracy et al. Reference Tracy, Mecouch, Davis and Nemanich[17] showed that ex-situ etching in a HCl solution together with in-situ anneal at a temperature of 865 °C in a NH3 atmosphere of 1×10−4 torr removes O and C completely on the sample surface. But, the initial O 1s signal is not more than five times higher than the noise level according to the spectrum. Furthermore, for the excitation of these signals they have used photons with energy of 1253.6 and 1486.6 eV, hence the photoelectron crossection in their experiment is only 1/10 compared to ours, according to Reference Yeh and Lindau[15]. Thus, the sensitivity on our experiment is considerably higher.

The surface treatment (c) did not have any significant effect on the already low amount of O. The C amount is slightly increased after this treatment. Vacuum annealing may allow for C outdiffusion and result in increased C concentration on the sample surface. However, in the last treatment (d) the integrated intensity of the C 1s signal is reduced by a factor of 2, indicating that the presence of NH3 is important also for the removal of C.

5. Conclusions

We are able to draw a number of conclusions. First of all we can deduce that the Ga-polar sample is Ga-terminated, since the initial concentration ratio of Ga to N is 4.0. The amount of Ga on the sample surface is significantly decreased during the NH3 flux annealing in (b) and (d), mainly through incorporation or adsorption of additional N on the surface, yielding a final Ga/N ratio of 1.1. Thus, the NH3 flux anneals are essential for the development towards a stoichiometric surface. We can also draw the conclusion that treatments (b) and (d) are essential for the development of a well-ordered surface according to the LEED studies shown in Figure 6. We note that a fair LEED pattern is observed well before the surface is clean and stoichiometric.

Finally, all the different surface treatments had a reducing effect on the contamination levels, except for method (c), Ga deposition at RT with following vacuum anneal, when the C amount increased slightly. We propose this latter effect to be due to segregation of C from the sample bulk during annealing. The NH3 flux anneals during (b) had the largest decontamination effect, together with the last surface cleaning treatment (d), Ga deposition during sample heating followed by NH3 flux anneal. The final coverage's of O and C on the GaN surface were both 0.1 ML. The photoelectron yield in this experiment was optimised by choosing the photon energy corresponding to the highest photoelectron crossection for each individual core level.

The surface treatments induce a downward shift of the Fermi level and affect the band bending, which can be explained by a change of states in the band gap, creating new and reducing old states at the surface.

The line shapes of the core level spectra will be analysed using a non-linear least squares fitting procedure, to achieve a more precise identification of the components of the structures. In this way more detailed information about the development towards a stoichiometric surface may become available.

Acknowledgments

We would like to thank the staff of MAX-Lab synchrotron radiation laboratory for their support and the group at Okayama University of Science led by H. Saito and M. Ohishi for help with the photoluminescence measurements. This work was supported by the Swedish Research Council.

References

Monemar, B., Phys. Rev. B 10, 676 (1974).CrossRefGoogle Scholar
Nakamura, S, Senoh, M, Nagahama, S, Iwasa, N, Yamada, T, Matsushita, T, Kiyoku, H, Sugimoto, Y, Kozaki, T, Umemoto, H, Sano, M, Chocho, K, Appl. Phys. Lett. 72, 211-213 (1998).CrossRefGoogle Scholar
Nakamura, S., Senoh, M., Iwasa, N., Nagahama, S., Jpn. J. Appl. Phys. 34, L797-L799 (1995).CrossRefGoogle Scholar
Mills, R. W., 178, 169 (1995).Google Scholar
King, S. W., Barnak, J. P., Bremser, M. D., Tracy, K. M., Ronning, C., Davis, R. F., J. Appl. Phys. 84, 5248-5260 (1998).CrossRefGoogle Scholar
Hedman, J., Martensson, N., Phys. Scr. 22, 176 (1980).CrossRefGoogle Scholar
Smith, LL, King, SW, Nemanich, RJ, Davis, RF, J. Electron. Mater. 25, 805-810 (1996).CrossRefGoogle Scholar
Bermudez, V. M., Koleske, D. D., Wickenden, A. E., Appl. Surf. Sci. 126, 69-82 (1998).CrossRefGoogle Scholar
Widstrand, S. M., Magnusson, K. O., Larsson, M. I., Johansson, L. S. O., Gustafsson, J. B., Moons, E., Miki, H., Oshima, M., Yeom, H. W., “Preparation of stoichiometric GaN(0001)−1×1 studied with spectromicroscopy”, Submitted for publication.Google Scholar
Rouviere, J. L., Weyher, J. L., Seelmann-Eggebert, M., Porowski, S., Appl. Phys. Lett. 73, 668-70 (1998).CrossRefGoogle Scholar
Feenstra, R. M., Chen, H., Ramachandran, V., Smith, A. R., Greve, D. W., Appl. Surf. Sci. 166, 165 (2000).CrossRefGoogle Scholar
Nyholm, R., Andersen, J. N., Johansson, U., Jensen, B. N., Lindau, I., Nucl. Instrum. Methods A 467-468, 520-524 (2001).CrossRefGoogle Scholar
Antonides, E., Janse, E. C., Sawatzky, G. A., Phys. Rev. B 15, 1669-79 (1977).CrossRefGoogle Scholar
Bermudez, V. M., Long, J. P., Surf. Sci. 450, 98-105 (2000).CrossRefGoogle Scholar
Yeh, J. J., Lindau, I., “Atomic Subshell Photoionization Cross Section and Asymmetry Parameters: 1Z103”, 32, Academic Press Inc., (1985), ISBN 0092-640X/85Google Scholar
Oliver, R. A., Norenberg, C., Martin, M. G., Crossley, A., Castell, M. R., Briggs, G. A. D., Appl. Surf. Sci. 214, 1-10 (2003).CrossRefGoogle Scholar
Tracy, K. M., Mecouch, W. J., Davis, R. F., Nemanich, R. J., J. Appl. Phys. 94, 3163-72 (2003).CrossRefGoogle Scholar
Waldrop, J. R., Grant, R. W., Appl. Phys. Lett. 68, 2879-2881 (1996).CrossRefGoogle Scholar
Wang, F. -H., Kruger, P., Pollmann, J., Phys. Rev. B 64, 035305-1 (2001).Google Scholar
Figure 0

Table 1. Subsequently performed surface treatments and their corresponding labels.

Figure 1

Figure 1. Photoelectron spectra of Ga 3p, after surface treatments, labelled according to Table 1. Filled and open symbols are features associated with the positions of Ga 3p1/2,3/2 in pure Ga and in GaN [6], respectively.

Figure 2

Figure 2. Photoelectron spectra of the N 1s peak, after surface treatments see Table 1.

Figure 3

Figure 3. Photoelectron spectra of O 1s, after surface treatments see Table 1.

Figure 4

Figure 4. Photoelectron spectra of C 1s, after surface treatments see Table 1.

Figure 5

Figure 5. Changes in energy positions of Ga 3p and N 1s, measured relative to EF, as displayed in Figure 1 and 2. The energy position after the final surface treatment labelled (d) has been set to zero.

Figure 6

Figure 6. LEED patterns, (a) after the third NH3 flux anneal, the electron kinetic energy was 104 eV, (b) after the last surface cleaning consisting of Ga deposition during heating followed by NH3 flux anneal, the electron kinetic energy was 147 eV.

Figure 7

Figure 7. The Ga to N concentration ratio, after surface treatments see Table 1.

Figure 8

Figure 8. Fraction of ML of O to N +Ga and C to N +Ga, after surface treatments see Table 1.