Hostname: page-component-8448b6f56d-sxzjt Total loading time: 0 Render date: 2024-04-19T11:35:44.026Z Has data issue: false hasContentIssue false

Fabrication of Air-Gaps Between Cu Interconnects for Low Intralevel k.

Published online by Cambridge University Press:  17 March 2011

Dhananjay M. Bhusari
Affiliation:
School of Chemical Engineering, Georgia Institute of Technology, Atlanta, GA
Michael D. Wedlake
Affiliation:
School of Chemical Engineering, Georgia Institute of Technology, Atlanta, GA
Paul A. Kohl
Affiliation:
School of Chemical Engineering, Georgia Institute of Technology, Atlanta, GA
Carlye Case
Affiliation:
Lucent Technologies, Murray Hill, NJ
Fred P. Klemens
Affiliation:
Lucent Technologies, Murray Hill, NJ
John Miner
Affiliation:
Lucent Technologies, Murray Hill, NJ
Byung-Chan Lee
Affiliation:
Rensselaer Polytechnic Institute, Troy, NY
Ronald J. Gutmann
Affiliation:
Rensselaer Polytechnic Institute, Troy, NY
J.J. Lee
Affiliation:
Motorola Inc., Austin, TX
Robert Shick
Affiliation:
BF Goodrich Company, Brecksville, OH
L. Rhodes
Affiliation:
BF Goodrich Company, Brecksville, OH
Get access

Abstract

We present here a method for fabrication of air-gaps between Cu-interconnects to achieve low intralevel dielectric constant, using a sacrificial polymer as a ‘place holder’. IC compatible metallization and CMP processes were used in a single damascene process. The air-gap occupies the entire intralevel volume between the copper lines with fully densified SiO2 as the planer interlevel dielectric. The width of the air-gaps was 286 nm and the width of the copper lines was 650 nm. The effective intralevel dielectric constant was calculated to be 2.19. The thickness of the interlevel SiO2 and copper lines were 1100 nm and 700 nm, respectively. Further reduction in the value of intralevel dielectric constant is possible by optimization of the geometry of the metal/air-gap structure, and by use of a low k interlevel dielectric material.

In this method of forming air-gaps, the layer of sacrificial polymer was spin-coated onto the substrate and formed into the desired pattern using an oxide or metal mask and reactive-ion-etching. The intralevel Cu trench is then inlaid using a damascene process. After the CMP of copper, interlevel SiO2 is deposited by plasma-CVD. Finally, the polymer place-holder is thermally decomposed with the decomposition products permeating through the interlevel dielectric material. The major advantages of this method over other reported methods of formation of air-gaps are excellent control over the geometry of the air-gaps; no protrusion of air-gaps into the interlevel dielectric; no deposition of SiO2 over the side-walls, and no degradation of the interlevel dielectric during the formation of air-gap.

Type
Research Article
Copyright
Copyright © Materials Research Society 2000

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

1. Meindl, J.D., Proc. IEEE, 83, 619 (1995).10.1109/5.371970Google Scholar
2. The National Technology Roadmap for Semiconductors, Semiconductor Industry Association, San Jose, CA, 1997.Google Scholar
3. Grove, N.R., Kohl, P.A., Bidstrup-Allen, S.A., Shick, R.A., Goodall, B.L. and Jayaraman, S., Mater. Res. Soc. Symp. Proc. 476, 3 (1997).10.1557/PROC-476-3Google Scholar
4. Townsend, P.H., Martin, S.J., Godschalx, J., Romer, D.R., Smith, D.W. Jr., Castillo, D., DeVries, R., Buske, G., Rondan, N., Froelicher, S., Marshall, J., Shaffer, E.O. and Im, J-H., Mater. Res. Soc. Symp. Proc. 476, 9 (1997).10.1557/PROC-476-9Google Scholar
5. Theil, J.A., Mertz, F., Yairi, M., Seaward, K., Ray, G. and Kooi, G., Ibid, 31 (1997).Google Scholar
6. Kohl, P.A., Zhao, Q., Patel, K., Schmidt, D., Bidstrup-Allen, S.A. Shick, R. and Jayaraman, S., Electrochem. and Solid State Lett. 1, 49 (1998).10.1149/1.1390631Google Scholar
7. Shieh, B., Saraswat, K.C., McVittie, J., List, S., Nag, S. and Islamraja, M., IEEE Electron Device Letters 19, 16 (1998).10.1109/55.650339Google Scholar
8. Anand, M.B., Yamada, M. and Shibata, H., IEEE Trans. Electron Devices 44, 1965 (1997).10.1109/16.641367Google Scholar
9. Shieh, B., Saraswat, K., Deal, M. and McVittie, J., Solid State Technol.(February), 51 (1997).Google Scholar
10. Shick, R.A., Goodall, B.L., McIntosh, L.H., Jayaraman, S., Kohl, P.A., Bidstrup-Allen, S.A. and Grove, N.R., Proc. IEEE Multichip Module Conf., 182 (1996).Google Scholar
11. Zhao, Q. and Kohl, P.A., J. Electrochem Soc. 145, 1257 (1998).10.1149/1.1838448Google Scholar
12. Steigerwald, J.M., Murarka, S.P. and Gutmann, R.J., Chemical Mechanical Planarization of Microelectronic Materials (John Wiley & Sons Inc.), p.150 (1997).10.1002/9783527617746Google Scholar