Hostname: page-component-8448b6f56d-wq2xx Total loading time: 0 Render date: 2024-04-23T13:05:30.379Z Has data issue: false hasContentIssue false

A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization

Published online by Cambridge University Press:  14 September 2020

Jihoon Seo*
Affiliation:
Department of Chemical and Biomolecular Engineering and Center for Advanced Materials Processing, Clarkson University, Potsdam13699, New York, USA
*
a)Jihoon Seo jseo@clarkson.edu

Abstract

As the minimum feature size of integrated circuit elements has shrunk below 7 nm, chemical mechanical planarization (CMP) technology has grown by leaps and bounds over the past several decades. There has been a growing interest in understanding the fundamental science and technology of CMP, which has continued to lag behind advances in technology. This review paper provides a comprehensive overview of various chemical and mechanical phenomena such as contact mechanics, lubrication models, chemical reaction that occur between slurry components and films being polished, electrochemical reactions, adsorption behavior and mechanism, temperature effects, and the complex interactions occurring at the wafer interface during polishing. It also provides important insights into new strategies and novel concepts for next-generation CMP slurries. Finally, the challenges and future research directions related to the chemical and mechanical process and slurry chemistry are highlighted.

Type
REVIEW
Creative Commons
Creative Common License - CCCreative Common License - BY
This is an Open Access article, distributed under the terms of the Creative Commons Attribution licence (http://creativecommons.org/licenses/by/4.0/), which permits unrestricted re-use, distribution, and reproduction in any medium, provided the original work is properly cited.
Copyright
Copyright © The Author(s), 2020, published on behalf of Materials Research Society by Cambridge University Press

Introduction

Chemical mechanical planarization (CMP) is one of the most critical processes to achieve multilevel metallization and incorporation of gate and channel materials during integrated circuit (IC) fabrication [Reference Krishnan, Nalaskowski and Cook1, Reference Suryadevara2]. Applications of CMP can be found in three main areas of IC manufacturing that include forming the transistors (front-end-of-line, FEOL), the local electrical connections between transistors (middle-of-line, MOL), and the interconnect structures (back-end-of-line, BEOL) [Reference Krishnan, Nalaskowski and Cook1, Reference Suryadevara2]. The FEOL process contains all the necessary steps to build the device architecture with a variety of CMP steps for different layer combinations of SiO2, Si3N4, and poly-Si stop layers, SiC, SiCN, etc. and the high-k/metal gate structures [Reference Srinivasan, Dandu and Babu3, Reference Lin, Liu, Lin, Lin, Hung, Li, Lin, Wang, Liu and Wu4]. The MOL processes are introduced to connect the individual transistors. W and Co have attracted significant attention as an electrically conducting material for local interconnects for the MOL process steps [Reference Kamineni, Raymond, Siddiqui, Mont, Tsai, Niu, Labonte, Labelle, Fan and Peethala5]. In the BEOL process steps, all the number of devices are interconnected by sequentially constructing multilevel Cu wires and insulating layers [Reference Krishnan, Lofaro and Babu6]. CMP has been an enabling technology in the FEOL, the MOL, and the BEOL processes by achieving the desired removal rates, selectivity, and ultimately planarity with different substrate materials. Each CMP process requires different removal rates, selectivities, and process conditions. As feature sizes continue to decrease, requiring the fabrication of more complex geometries, tunable and selective polishing of different films has become even more critical. New CMP steps, including new materials and complex structures, are proposed and present even more stringent requirements.

The CMP process has become increasingly sophisticated over the years. Many of the underlying fundamental mechanisms are still not well understood. Indeed, CMP technology is advancing faster than our understanding of it. The evolution of high-volume-production CMP processes has its market-driven schedules that are ahead of our understanding of the fundamental principles/technologies. Thus, the demand for understanding of various phenomena that occur during CMP is increasing in both industrial and academic research.

CMP utilizes a synergistic interplay of chemical and mechanical interactions to achieve the desired removal rates, selectivity, and ultimately planarity with different substrate materials. During this process, the substrate is pressed down typically onto a grooved polymeric, most commonly polyurethane pad with controlled hardness and texture under an applied force (Fig. 1). The polishing slurry is transported into the grooves, pores, and texture of the polyurethane pad by rotating the pad at high speed, which generates the chemical and mechanical actions involving three-body (slurry/polishing pad/wafer) interactions (Fig. 1). Many factors including CMP consumables and their process/tool conditions can impact the polishing performance. In this review, I have focused mainly on CMP slurries and their relation to chemical and mechanical aspects of the CMP process. In general, the slurries for the dielectric CMP process are composed of abrasives, dispersant, passivation agent for high selectivity, pH adjuster, and deionized water. In contrast with dielectric materials, metal CMP is governed by the electrochemical behavior of metal films in the presence of oxidizer, complexing agents, and corrosion inhibitors. Metal CMP slurries may contain additional chemical reagents like oxidizer, complexing agent, and corrosion inhibitor. Typical slurry components for dielectric and metal CMP processes are summarized in Table 1. Slurry formulations not only are different depending on the materials to be polished but also need to be optimized to meet the stringent process requirements [Reference Seo, Paik and Babu7, Reference Kim and Rudawska8]. Their characteristics dominate the various interactions that occur at the slurry/pad–wafer interface.

Figure 1: Schematic diagram of a typical CMP tool and three-body interactions occurring in the wafer/abrasive/pad contact region.

TABLE 1. Typical CMP slurry components.

This review presents and discusses the most significant advances with respect to chemical and mechanical phenomena at the wafer interface during CMP. Chemical and mechanical phenomena such as contact mechanics, lubrication models, the chemical reactions between slurry components and films being polished, electrochemical reactions, adsorption behavior and mechanisms, temperature effects, and their complex interactions will be discussed. Also, the final section provides an overview of recently developed real-time in situ techniques to study some of the phenomena that occur at the slurry/pad–wafer interface during polishing.

Models Based on Contact Mechanics

Material removal occurs when there is direct contact between an abrasive particle and wafer surface during polishing. Models based on contact mechanics were proposed to explain the mechanical aspects of the material removal mechanism. Assuming that all particles are involved in material removal, their contact area on the particle–wafer surface is given as A ∝ C 1/3 ⋅ d −1/3 where C is the concentration of the abrasive particles and d is the abrasive diameter [Reference Basim, Adler, Mahajan, Singh and Moudgil9]. However, only abrasive particles larger than the gap between the polishing pad and the wafer surface, termed as “active” particles, can contribute to material removal (Fig. 1) [Reference Luo and Dornfeld10]. Of course, a larger gap will result in less interaction between particles and wafer. Luo and Dornfeld assumed that only the plastic, but not elastic, deformation caused by the indentation of the active particles into the wafer surface results in material removal. They developed a mathematical model to predict the material removal rates considering the characteristics of the active abrasive particles (size, size distribution, and concentration), pad hardness, and CMP process conditions [Reference Luo and Dornfeld10, Reference Luo and Dornfeld11]. The real contact area (A r) at the wafer–particle interface considering pad asperities with a Greenwood–Williamson approach was proposed by Lee et al. [Reference Lee, Jeong and Dornfeld12], which can be expressed as A r = (f s/C)(R pp)1/2(PA w/E pw) where R p is the average radius of curvature of pad asperity, σp is the standard deviation of the pad asperity height, P is the applied pressure, A w is the nominal area of the wafer surface, E pw is the compose Young's modulus of the pad and the wafer, f s is the area density of up area of the grooved pad, and C is a constant in the range of 0.3–0.4. Lee et al. reported that the real contact area ratio (real contact area/nominal contact area) continued to decrease over the CMP process, leading to the deterioration in the material removal rates [Reference Lee, Lee, Jeong and Jeong13]. Park and Jeong suggested that a uniform roughness pad provided much higher removal rates due to the larger real contact area compared with a random roughness pad [Reference Park and Jeong14]. While it is very difficult to observe the in situ movements of active particles during polishing, the contact area of pad–wafer can be measured and has been considered as the real contact area in most cases.

Borucki has developed a tool to measure the real contact area of pad–wafer using the confocal microscopy and analyzed the contact image with digital image processing [Reference Borucki15]. Philipossian et al. investigated the real contact area of a pad with a wafer as a function of the process/tool conditions, pad conditioner, polishing pad, and their combinations [Reference Mu, Han, Sampurno, Zhuang and Philipossian16, Reference Mariscal, McAllister, Sampurno, Suarez, Borucki and Philipossian17]. They observed that a higher contact area of pad–wafer results in a higher material removal rate. Later, Lee measured the real contact area of the polishing pad using a home-built tool as a function of applied pressure and abrasive size and proposed a modified semi-empirical model to predict the removal rates of SiO2 films [Reference Lee18].

However, according to the classical contact mechanics, when the real contact area between pad and wafer increases, the real contact pressure decreases, leading to a decrease in the material removal rate. The opposite conclusion may be drawn from the properties of CMP consumables (slurry, films, polishing pad, conditioner, etc.), their characteristics, and CMP process conditions. More elaborate studies are needed to understand two opposing tendencies of material removal rates.

The classic equation for contact mechanics is Preston's equation [Reference Preston19] expressed as MRR = KPV where MRR is the material removal rate, K is Preston's coefficient, P is the applied pressure on the wafer surface, and V is the relative velocity between the wafer and the polishing pad. Preston's equation was very useful in explaining most of the removal rates of dielectric materials in the early stages of CMP technology. However, it assumes a linear relationship between pressure P and relative velocity V and hence, is unable to explain non-linear polishing behavior in actual CMP [Reference Fan, Boning and Babu20, Reference Tseng and Wang21]. Also, Preston's equation does not consider the properties of CMP consumables and their characteristics. As the CMP process becomes more and more complex, it has been difficult to predict CMP results and explain their mechanism by only Preston's equation. Today's contact mechanics for the CMP process not only consider the properties of CMP consumables and their characteristics but also simulate the fluid dynamics and process parameters, which provide more reliable information on the actual CMP mechanism [Reference Fan, Boning and Babu20].

Despite a number of such investigations, more fundamental studies are needed to investigate the in situ measurement of the real contact area of active particles at the slurry/pad–wafer interface during polishing, especially while considering SiO2 and CeO2 abrasive particles that have been typically used for CMP applications. Most previous models considered particle size and size distribution, where the particle shape was assumed to be spherical. Their type, hardness, shape, particle agglomeration, and chemical reactions between slurry and wafer surface have a significant influence on the material removal rates. These factors have to be taken into consideration in the mathematical model based solely on contact mechanics.

Lubrication Models

During polishing, CMP slurries are transported to grooved trenches, pores, and texture of a pad and improve hydrodynamic lubrication (Fig. 1). Sundararajan et al. proposed a lubrication model for slurry flow and a mass transport model for material removal by solving the Reynolds equation [Reference Sundararajan, Thakurta, Schwendeman, Murarka and Gill22]. According to their numerical results, a 40–60 μm slurry film between the wafer and the pad is expected at an applied pressure of 14 kPa and a linear velocity of 1.3 m/s. Slurry film thickness decreased to the range of 15–30 μm with higher applied pressure on the wafer. Lu et al. developed a dual emission ultraviolet (UV)-enhanced fluorescence technique using a dual-camera imaging system to measure the thickness of the slurry film between the pad and a BK-7 wafer and in situ monitored the average slurry film thickness during polishing [Reference Lu, Rogers, Manno, Philipossian, Anjur and Moinpour23].

Philipossian's group modified this tool with high spatial resolution images, high-intensity UV laser pulses, and enhanced fluorescence [Fig. 2(a)] [Reference Gray, Apone, Rogers, Manno, Barns, Moinpour, Anjur and Philipossian24]. They used two different fluorescent dyes that can be excited at different wavelengths and recorded the fluorescence intensities of the dye molecules in the slurry using two high-resolution cameras. The intensity ratio of light detected by each camera was converted to slurry film thickness after proper calibration [Fig. 2(a)] [Reference Lu, Rogers, Manno, Philipossian, Anjur and Moinpour23, Reference Gray, Apone, Rogers, Manno, Barns, Moinpour, Anjur and Philipossian24]. They also studied the dependence of the slurry film thickness on the type of conditioner disk (design, kinematics, and pressure) [Reference Li, Lee, Borucki, Rogers, Kikuma, Rikita, Nagasawa and Philipossian26] and pad properties (asperities, roughness, and groove) [Reference Rosales-Yeomans, Lee, Suzuki and Philipossian25]. They measured the in situ coefficient of friction (COF) along with slurry film thickness. COF showed an inverse relationship with the average slurry film thickness. In thick and more viscous slurry films, the abrasive particles and the pad are less likely to interact with the wafer, resulting in a lower COF. The high viscosity of CMP slurries not only results in ineffective mass transport of reactants across the wafer and poor lubrication between the wafer and the pad but also restricts the movement of abrasive particles in the slurry.

Figure 2: (a) Dual emission UV-enhanced fluorescence techniques with a dual-camera imaging system to in situ measure the thickness of the slurry film during polishing. Adapted from Ref. [Reference Rosales-Yeomans, Lee, Suzuki and Philipossian25], (b) COF and slurry film thickness plotted as a function of the Hershey number (ηV/P).

The relationship between COF and the slurry film thickness is well explained by the Stribeck curve [Fig. 2(b)] [Reference Stribeck27, Reference Jacobson28]. By plotting COF against the Hersey number [Reference Hersey29], three distinct regions—boundary lubrication, mixed lubrication, and hydrodynamic lubrication—were identified. The Hersey number is given by ηV/P where η is the slurry viscosity, V is the relative velocity between pad and wafer, and P is the pressure. Region I of this curve, known as boundary lubrication, shows relatively very high COF due to the direct contact between two solid surfaces. Region II is referred to as mixed lubrication where the wafer does not directly contact a pad because of the slurry film formed between the wafer and the pad. In Region III (hydrodynamic lubrication), the pad and the wafer are fully separated due to the relatively thick slurry film, resulting in a relatively low removal rate and COF.

Mullany and Byrne experimentally and theoretically investigated the effect of slurry film thickness on COF during Si wafer polishing in the mixed lubrication region [Reference Mullany and Byrne30], showing that the removal rates of the Si wafer decrease with increasing Hersey number. However, the traditional Stribeck curve fails to explain the lubrication phenomena, while COF and downforce fluctuated significantly during polishing because of the so-called stick-slip phenomena [Reference Han, Sampurno, Theng, Sudargho, Zhuang and Philipossian31, Reference Bahr, Sampurno, Han and Philipossian32]. Han et al. developed a Stribeck+ curve involving instantaneous process valuables [Reference Han, Sampurno, Theng, Sudargho, Zhuang and Philipossian31, Reference Bahr, Sampurno, Han and Philipossian32] (measured shear force and downforce, calculated, and recorded COF), instead of using a constant of shear force and downforce throughout the polishing process, and successfully explained the Stribeck+ curves for SiO2 [Reference Han, Sampurno, Theng, Sudargho, Zhuang and Philipossian31], Cu [Reference Bahr, Sampurno, Han and Philipossian32], and W CMP [Reference Bahr, Sampurno, Han and Philipossian32] using various combinations of CMP slurries and pads.

As mentioned earlier, viscosity is one of the key parameters that control the slurry film thickness and COF [Reference Stribeck27, Reference Jacobson28]. The Hersey number includes viscosity [Reference Hersey29], and it was considered as a constant during polishing because most CMP slurries behave as Newtonian fluids displaying a viscosity that is independent of shear rate. However, Lortz et al. found that the viscosity of silica slurries was constant, like Newtonian fluids, only up to a shear rate of 10,000 s−1 and increased significantly for higher shear rates in the range of 200,000–300,000 s−1 [Reference Lortz, Menzel, Brandes, Klaessig, Knothe and Shibasaki33]. Later, Crawford et al. developed a new methodology to in situ measure the rheological behavior of CMP slurries (in situ rheo-polishing setup) while polishing the wafer [Reference Crawford, Williams, Boldridge and Liberatore34]. They observed a fivefold increase in the viscosity of the silica slurries in the presence of 0.15 M KCl with increasing shear rate (≥10,000 s−1). Silica particles can easily form a network structure and agglomerate in an aqueous medium under high shear rates due to their strong hydrogen bonding, triggering a shear-thickening effect [Reference Raghavan and Khan35, Reference Khanna, Gupta, Kumar, Chang and Singh36, Reference Khanna, Gupta, Kumar, Chang and Singh37]. Moreover, in some cases, the heat generated by the chemical and mechanical interactions at the wafer–pad–particle interface can have a significant influence on the slurry viscosity [Reference Sorooshian, Ashwani, Choi, Moinpour, Oehler and Tregub38]. It usually decreases with increasing temperature. These changes in slurry viscosity should be reflected in the Stribeck curve.

Chemical Reactions Between Slurries and Dielectric Films

The mechanical effect alone is not sufficient to explain the material removal. Thus, it is essential to understand the chemical reactions of slurry components with the wafer surface during polishing. Since a glass-polishing model was first developed in the 1980s by Brown et al. [Reference Brown, Baker and Maney39], various theories and concepts have been proposed to explain the removal of materials during polishing. Cook [Reference Cook40] investigated the removal rates of glass using the slurries composed of various abrasive particles (e.g., alumina, silica, ceria, zirconia, and titania) and found that the ceria particles can interact with the glass surface by forming the strong chemical bonds, which he called as “chemical-tooth” [Fig. 3(a)] [Reference Laarz, Zhmud and Bergström41]. In the aqueous medium, the glass surface reacts with water molecules and forms dissolved silanol (Si(OH)4) as expressed by (SiO2)x + 2H2O ↔  (SiO2)x -1 + Si(OH)4 [Reference Iler42]. According to his model, OH groups on the ceria surface form strong Ce–O–Si bonds with silanol groups (–Si–OH/–Si–O) on the glass surface during polishing [Fig. 3(a)]. The proposed chemical reaction is given by –Ce–OH + –Si–O ↔ –Ce–O–Si– + OH [Reference Iler42]. The Ce–O–Si bonds are much stronger than Si–O–Si bonds, which can be removed by both chemical reaction and mechanical abrasion during polishing. Later, Hoshino et al. [Reference Hoshino, Kurata, Terasaki and Susa43] suggested that SiO2 surfaces are removed as the form of lumps of Ce–O–Si bonds based on Fourier transform infrared spectroscopy (FTIR) and inductively coupled plasma atomic emission spectroscopy analysis of the post-CMP slurries [Fig. 3(a)]. Babu's group studied the effects of the Ce oxidation state (Ce3+/Ce4+) on the ceria surface on the interactions with SiO2 film using UV/visible spectroscopy and proposed that the surface Ce3+ sites stabilized by an oxygen vacancy are responsible for the high reactivity with SiO2 film [Fig. 3(a)] [Reference Dandu, Peethala and Babu44, Reference Dandu, Peethala, Amanapu and Babu45]. They also showed that the reactivity of ceria particles can be lowered by the blocking of the active Ce3+ surface sites using various additives [Reference Dandu, Peethala, Amanapu and Babu45, Reference Dandu, Devarapalli and Babu46]. Based on adsorption isotherms of silicate ions, Seo et al. reported that ceria particles with higher surface Ce3+ concentrations have higher adsorption affinity with SiO2 films. Taking advantage of these reports, current research for achieving high SiO2 removal rates is aimed at preparing Ce3+ rich ceria particles through either impurity metal-doping or coating. It is well known that lanthanide (La, Sm, Gd, Nd, and Yb) doping can increase Ce3+ concentration at the ceria surface, thus enhancing the SiO2 removal rates [Reference Cheng, Huang, Li, Wang, Xie and Lu47, Reference Praveen, Cho, Park and Ramanathan48]. Recently, Kim et al. have developed the core/shell type Ce3+ rich ceria abrasive for improved SiO2 removal rates [Reference Kim, Seo, Lee, Moon, Lee, Yi and Paik49]. Additionally, by coating the abrasives with a layer of different materials and chemical compositions, it is possible to change the physicochemical properties of the core abrasives and their reactivity with films [Reference Kim, Seo, Lee, Moon, Lee, Yi and Paik49].

Figure 3: (a) Schematic illustration of SiO2 removal mechanisms and (b) Si3N4 hydrolysis reaction mechanism. Adapted from Ref. [Reference Laarz, Zhmud and Bergström41]. (c) Schematic diagram of the material removal mechanism.

Si3N4 films can be easily oxidized to SiO2 at the surface when exposed to water or air, and then removed, as in the case of SiO2 films, by chemical reaction (the formation of Ce–O–Si bonds) as well as mechanical abrasion [Reference Hu, Gutmann and Chow50]. Figure 3(b) shows the overall hydrolysis of Si3N4 film in an aqueous medium; an amine is liberated from Si3N4 film through nucleophilic attack of water molecules, with the overall reaction described as Si3N4 + 6H2O → 3SiO2 + 4NH3G° = −147 kcal/mol at 298 K) [Reference Raider, Flitsch, Aboaf and Pliskin51]. The oxidized surface layer may be further hydrated as silicate species, which is written as ≡Si–O–Si ≡ + H2O → Si(OH)4. Laarz et al. [Reference Laarz, Zhmud and Bergström41] and Iler [Reference Iler52] showed that the rate of hydration is strongly affected by slurry pH, surface area, the preparation method of film, temperature, etc. Also, Laarz et al. found that the oxidized surface layer on Si3N4 film behaved like amorphous silica and determined the activation energy (52 kJ/mol) for the hydrolysis of the amorphous surface layer [Reference Laarz, Zhmud and Bergström41]. The conversion process/reaction of Si3N4 film is important in determining the removal mechanism of Si3N4, and its removal rate [Reference Hu, Gutmann and Chow50]. Babu and co-workers investigated various amino acids (alpha, cyclic, and aliphatic) that can be preferentially adsorbed onto Si3N4 film, inhibiting its hydrolysis to SiO2 [Reference America and Babu53, Reference Penta, Peethala, Amanapu, Melman and Babu54]. The details of the mechanism of Si3N4 removal rate suppression will be discussed in detail. Later, Alety et al. showed that the removal rate of Si3N4 film in the presence of 0.1 wt% ceria particles + 2.3 mM Ce(NO3)3 at pH 4 was ~300 nm/min, whereas it is ~10 nm/min with only ceria particle [Reference Alety, Sagi and Babu55]. X-ray photoelectron spectroscopy of the polished Si3N4 films is similar to that of an as-received silicon oxynitride film which led them to suggest that, in the presence of Ce3+, the surface of Si3N4 film can be converted to silicon oxynitride which was then polished at a high rate [Reference Alety, Sagi and Babu55].

Chemical Reactions Between Slurries and Metal Films

Unlike the removal mechanisms of SiO2 and Si3N4 films, metal films (W, Cu, Ru, Ta, and some of their alloys) are very difficult to be polished by only abrasive particles due to their inertness and hardness [Reference Krishnan, Nalaskowski and Cook1]. Kaufman et al. first proposed the polishing mechanism of W films when the slurry was composed of ferricyanide, hydrogen phosphate, and ethylenediamine [Reference Kaufman, Thompson, Broadie, Jaso, Guthrie, Pearson and Small56]. A “soft” passivating layer forms on the W film in the presence of ferricyanide ,[Fe(CN)6]3−,as an oxidizer, which can be written as W + 6[Fe(CN)6]3− + 4H2O → WO42− + 6[Fe(CN)6]4− + 8H+ [Reference Kaufman, Thompson, Broadie, Jaso, Guthrie, Pearson and Small56]. They proposed that the “soft” passivation layer can be easily removed by mechanical abrasion, and the fresh metal is exposed to the oxidizer again, which is subsequently passivated and then removed [Reference Kaufman, Thompson, Broadie, Jaso, Guthrie, Pearson and Small56]. Paul and co-workers proposed chemical kinetics of W [Reference Paul57] and Cu films [Reference Paul, Kaufman, Brusic, Zhang, Sun and Vacassy58] as a function of oxidizer, chelating agent, inhibitor, and mechanical contribution with a mechanical abrasion process. The passivation layer MC* forms on the metal film M in the presence of chemical component C by the chemical reaction; M + C ↔ MC*. The passivation layer can be partially dissolved in the slurries (Mbulk + MC* → MCaq + M) or removed by mechanical abrasion (MC* + A → MC–A + M) where MCaq is the dissolved species of MC, A is the abrasive particle, and MC–A is the leaving material from the metal surface. Kinetics of competitive reactions of film formation r f = k fN M[C] by oxidizer, film dissolution r d = k dN MC* by chelating agent, and mechanical abrasion r M = k M(N a/A)N MC* by particles determine the removal rates of the metal film where k f, k d, and k M are the rate constants for the reactions, N M and N MC* are the number of M and MC* sites on the metal M surface, [C] is the concentration of the chemical component C in the slurry and N a is the number of the active abrasive particles per unit area A of the metal surface. Later, Paul and Vacassy extended their model to the systems with inhibitor by combining the reaction and kinetics described above [Reference Paul and Vacassy59]. The mechanism of this process is used to explain other metal CMP processes, and the possible chemical reactions at the metal surface are summarized below [Reference Roy and Babu60, Reference Lee, Lee and Jeong61].

$$\hskip-37pt {\rm Metal} + {\rm Oxidizer}\to {\rm Metal}\,{\rm oxide} + {\rm partially}\,{\rm dissolved}$$
$$\hskip-21.9pt{& {\rm Metal}/{\rm Metal}\,{\rm oxide} + {\rm Chelating}\,{\rm agent}} \cr & \quad \to {\rm Formation}\,{\rm of}\,{\rm the}\,{\rm insoluble}\,{\rm passivating}\,{\rm layer}\,{\rm or} \cr &\quad {\rm Dissolved}\,{\rm complex}\,{\rm ion}}$$
$$\eqalign\hskip-3pt{& {\rm Metal} + {\rm Inhibitor}\to {\rm Formation}\,{\rm of}\,{\rm the}\,{\rm insoluble}\,{\rm passivating}\,{\rm layer}}$$
$$\hskip-100pt{\rm Metal} + {\rm H}^ + {/}{\rm O}{\rm H}^-\to {\rm Dissolved}\,{\rm as}\,{\rm M}^{{ n} + }$$

Various oxidizers such as H2O2, KIO3, Fe(NO3)3, K2S2O8, and their mixtures have been investigated [Reference Kaufman, Thompson, Broadie, Jaso, Guthrie, Pearson and Small56, Reference Seo, Kim, Lee, You, Moon, Lee and Paik62, Reference Du, Tamboli, Luo and Desai63, Reference Ranaweera, Baradanahalli, Popuri, Seo and Babu64]. H2O2 has been widely used as an oxidizer for many metal CMP slurries due to its low cost and powerful oxidizing capability [Reference Hong, Patri, Ramakrishnan, Roy and Babu65, Reference Hegde, Patri and Babu66]. When both ferric ions and H2O2 are present, ferric ions can lead to the decomposition of H2O2 into the free hydroxyl radicals (⋅OH), powerful oxidants, through the Fenton reaction. These radicals can rapidly form a “soft” passivation layer on the metal film, resulting in high MRR and improved topography of metal film [Reference Seo, Kim, Lee, You, Moon, Lee and Paik62, Reference Lim, Park and Park67]. Chelating agents such as glycine and citric acid that form a complex with metal ions can also help in the material removal by forming either insoluble or soluble surface complexes on the metal surface [Reference Aksu and Doyle68, Reference Popuri, Sagi, Alety, Peethala, Amanapu, Patlolla and Babu69]. However, corrosion defects such as pitting, cracking, and excessive etching will inevitably occur when the metal films are exposed to corrosive reagents [Reference Tseng and Babu70]. Organic inhibitors containing heteroatoms such as O, N, and S have been used to inhibit the corrosion of a metal. They can be effectively chemisorbed on the metal surface through the lone pair of electrons on these heteroatoms. Azole derivatives such as benzotriazole (BTAH, often referred to simply as BTA) and 1,2,4-triazole (TAZ) have been used as a corrosion inhibitor for the metal film during polishing, as discussed later in the section on the inhibitor.

The mechanism of the metal CMP process involving the continuous cycles of the formation of a passivating layer on the metal film by oxidizer and inhibitor, removal of the passivating layer by the mechanical action, dissolution by chemical reactions, and re-passivation of the metal film is shown in Fig. 3(c).

Electrochemical Reactions

Metal CMP is governed by electrochemical behaviors of metal films in the presence of oxidizer, complexing agents, and corrosion inhibitors. When exposed to slurry components, the metal film is oxidized and loses electron, forming metal cation (anodic reaction, M = M+ + e) and the corresponding cathodic reduction reaction receives electrons (O2 + 4H+ + 4e = 2H2O). Oxidation/dissolution and passivation of metal films occur at the open circuit potential (OCP) of each system [Reference Sulyma and Roy71]. The OCP transients stabilize when the anodic and cathodic sites arrive at a saturation point, and the OCPs and pH values of the slurries are used to predict the thermodynamically stable chemical compounds or species of a given metal film in the slurry components according to Pourbaix diagrams (or E-pH diagrams). The general E-pH-dependent reaction at 25 °C can be expressed as a(Ox) + mH+ + ne ↔ b(Rd) + cH2O where a, m, b, and c are the number of molecules, n is the number of electrons involved in the reaction, and Ox and Rd denote the oxidized and reduced species of the active redox couple, respectively. E-pH diagrams were constructed by using the Nernst equation and appropriate equilibrium constant expressions [Reference Verink and Winston Revie72]: E = E 0–(2.303 RTm)/(nF)pH +(2.303 RT/nF)log(C Oxa/C Rdb) where E is the actual cell potential at nonstandard condition, E 0 is the standard reduction potential, R is the gas constant, T is the absolute temperature, F is the Faraday constant, and C Ox and C Rd represent the concentration of the species Ox and Rd involved in the E-pH-dependent reactions. Pourbaix diagrams for various metal–slurry systems for CMP applications have been developed [Reference Oliver73].

A goal of metal CMP is to uniformly polish the interconnection materials (Cu, Co, Al, W, etc.) and the barrier materials (Ta, TaN, Ti, TiN, Co, Ru, etc.) with the desired removal rates and selectivity while minimizing CMP-related defects such as localized pitting, dissolution, and galvanic corrosion, and stop on the oxide layer. The interconnection materials and the barrier materials are in physical contact, and the polishing slurry provides a conductive pathway between them. According to mixed potential theory, two metals with different potentials are polarized until they reach the same potential, forming a galvanic couple. The more noble metal becomes a cathode for the oxygen reduction reaction, and the less noble metal is corroded more rapidly through dissolution/oxidation while supplying electrons to the cathode, resulting in the preferential dissolution/corrosion of either interconnection material or barrier material by galvanic corrosion (Fig. 4) [Reference Oliver73]. Corrosion parameters (E corr, I corr, E g, and I g) obtained from Tafel extrapolations provide useful information on the oxidation–reduction reactions of metal films in the slurry. Chemical additives induce the shifts in the E corr and the I corr by the complex mechanism. An upward shift of Tafel curves can occur due to anodic corrosion/passivation or cathodic stimulation. A downward shift in Tafel plots is observed with the addition of the cathodic inhibitor by retarding the rate of oxygen transfer to the cathodic sites on the metal films. It is necessary to minimize the potential difference of the metal/barrier couple with low corrosion currents through a careful selection of oxidizer, complexing agent, corrosion inhibitor, and the slurry pH. Cu metal and Ru barrier act as the anode and cathode of the galvanic couple, respectively. Galvanic corrosion of Cu–Ru couple needs to be controlled through the selective prevention of cathodic reactions on Ru film, and/or increasing the potential of Cu by preferential anodic inhibition of Cu films. Peethala et al. [Reference Peethala, Roy and Babu74] proposed a silica-based slurry containing 5 mM BTA and 7 mM ascorbic acid at pH 9 in the presence of KIO4, as an oxidizer as selective anodic and cathodic corrosion inhibitors for Cu and Ru films, respectively. The mixed corrosion inhibitor system not only minimized the galvanic corrosion of Cu–Ru couple but also achieved a reasonable removal rate selectivity of Cu and Ru films. Chockalingam et al. [Reference Chockalingam, Lagudu and Babu75] showed that 2 mM BTA and 3 mM sucrose in the presence of KIO4 at pH 10 were able to suppress both anodic and cathodic reaction of Cu and Mn films by forming a passivation layer on the films, leading to minimizing the individual corrosion of films as well as their galvanic corrosion. Jiang et al. [Reference Jiang, He, Niu, Li and Luo76] showed that the combination of BTA and Pluronic® P103 in the presence of KIO4 at pH 9.5 formed a compact passivation layer, composed of Pluronic® P103 adsorbed on the Cu-BTA complex, resulting in the desired Cu removal rate ~200 Å/min with the negligible dissolution and excellent surface quality. This slurry composition was also very effective in the control of the removal rates of Cu, Ru, and low-k dielectric films and their selectivity, but the galvanic corrosion of Cu/Ru couple needed to be reduced for improved surface quality when Ru is used as the barrier layer.

Figure 4: Galvanic corrosion of metal-barrier couple due to their potential difference. The preferential dissolution/corrosion of interconnection material and barrier material leads to the dishing and the fang, respectively.

Adsorption Behavior and Mechanism of Slurry Components on Abrasive Particles and Films

Organic compounds such as dispersants and passivation agents in CMP slurries have been used to stabilize the slurry and achieve the selective removal of the materials during polishing, respectively. The most common functional groups of dispersants and passivation agents used in CMP slurries are carboxylic acids (COOH) and amines (NH2). Chemical adsorption between the adsorbate and the surface occurs through various interactions such as electrostatic, hydrogen bonding, and hydrophobic interactions [Reference Farrokhpay77]. Electrostatic interaction is relevant for ionic species and involves the attractive electrostatic forces between opposite charges. Hydrogen bonding occurs when electronegative atoms such as O, S, or N approach a hydrogen atom bound to another electronegative atom. It is possible for organic compounds containing electronegative groups such as –OH, –O–, –NH, and –NH2 to adsorb onto -OH groups of the hydrated surface of particles and films through hydrogen bonding.

Adsorption Behavior and Mechanism of Dispersants on Abrasive Particles

Poly(acrylic acid) (PAA) and copolymers, anionic polymers, have been widely used as dispersants for ceria slurries [Reference Seo, Lee, Moon, Sigmund and Paik78, Reference Kim, Lee, Paik, Katoh and Park79]. When added to the slurry, the COOH groups of PAA are deprotonated to negatively charged COO groups above its pKa of 4.5 and adsorb on the highly positively charged ceria surface (OH2+ sites) via electrostatic attractive interaction and hydrogen bonding [Fig. 5(a)] [Reference Hackley80], which increases electrostatic repulsion as well as steric hindrance between the ceria particles. PAA coated ceria particles are known to undergo transitions of bridging agglomeration-stable-flocculation depending on their physicochemical conditions such as pH, ionic strength, temperature, and concentration [Reference Sehgal, Lalatonne, Berret and Morvan81]. Citric acid and PAA have been used to disperse alumina abrasives [Reference Hidber, Graule and Gauckler82, Reference Ohtsuka, Mizutani, Satoshi, Asai, Kiguchi, Satone, Mori and Tsubaki83]. Song et al. suggested that the mixed dispersant system of citric acid and PAA was very useful for the stable dispersion of alumina abrasives, leading to higher Cu removal rates by suppressing the hydration of alumina abrasive [Reference Song, Lee, Lee and Koo84].

Figure 5: (a) Schematic illustration depicting PAA interactions at the ceria/Si3N4–slurry interface as a function of pH and corresponding potential-distance diagrams. Adapted from Ref. [Reference Hackley80]. (b) Bond formation between proline and silicon nitride surface. Adapted from Ref. [Reference America and Babu53]. (c) Cu-BTA complex chemisorbed on the Cu surface.

Ionic surfactants such as ammonium lauryl sulfate (ALS) and cetyl trimethyl ammonium bromide (CTAB) have been used in the formation of ceria slurries reported by Dylla-Spears et al. [Reference Dylla-Spears, Wong, Miller, Feit, Steele and Suratwala85]. They suggested that the formation of charged micelles above the critical micelle concentration may electro-sterically hinder the agglomeration of ceria particles, which is called the “charged micelle halo” stabilization mechanism [Reference Dylla-Spears, Wong, Miller, Feit, Steele and Suratwala85].

Tseng et al. [Reference Tseng, Kuo, Liao, Lu and Lin86] reported that the silica abrasives in the presence of methyl methacrylate were stabilized by either electrostatic barriers or steric barriers between the particles, resulting in an excellent uniformity of the oxide thickness across the wafer. Pan et al. [Reference Pan, Lu, Pan, Liu and Luo87] suggested that sodium dodecyl sulfate (SDS), an anionic surfactant, could improve the dispersion stability of silica-based slurries for Cu CMP. Indeed, free SDS surfactants remaining in the slurry were very useful to suppress Cu corrosion and lead to good surface quality. Polyethylenimine (PEI) and CTAB composed of the amine groups were used to disperse silica abrasive particles also [Reference Seo, Yoon, Moon, Kim, Sigmund and Paik88, Reference Basim, Vakarelski and Moudgil89]. They can be adsorbed onto the negatively charged silica through electrostatic attractive interaction as well as hydrogen bonding and prevent agglomeration. However, the adsorbed layer on the particle surface results in the low material removal rate by preventing particles from direct contact with the materials to be polished.

Adsorption Behavior and Mechanism of Passivation Agents on Films

PAA, when used as a passivation agent during polishing, can be preferentially adsorbed on the positively charged Si3N4 film (pHIEP ~5–7) through electrostatic attraction [Fig. 5(a)] [Reference Hackley80], suppressing the removal of Si3N4 film and yielding high selectivity [Reference Cho, Kim, Paik, Park and Sigmund90]. Kim et al. reported that PAA has almost ten times higher affinity with Si3N4 at pH 5–9 than with SiO2 [Reference Kim, So, Lee and Yang91]. The preferential adsorption of PAA on Si3N4 films was confirmed by attenuated total reflection (ATR)-FTIR spectra of SiO2 and Si3N4 surfaces treated with PAA. Kim et al. measured the thickness of adsorbed PAA on the Si3N4 film using atomic force microscopy as a function of ionic strength [Reference Kim, Lee, Lee, Paik and Park92]. The thickness of the adsorbed PAA layers on the Si3N4 film was 5.2, 4.5, and 3.8 nm with 0, 0.2, and 0.4 M KNO3, respectively. In some case, if the attractive forces such as hydrogen bonding are more dominant than the electrostatic repulsion between PAA and SiO2 film, it is possible for the adsorption of PAA to occur on the SiO2 film [Reference Kim, Jung, Yoon, Moon, Watanabe, Naito and Paik93].

Park et al. investigated the effect of adding both PAA and poly(vinyl pyrrolidone) (PVP) to ceria based slurries to achieve the multi-selectivity between SiO2, Si3N4, and poly-Si films and showed high selectivity (~65:~15:1 for SiO2:Si3N4:poly-Si films) in the presence of 0.05 wt% PAA and 0.2 wt% PVP in the pH range of 6.0–6.5 [Reference Park, Cui, Cho, Hwang, Hwang, Paik, Kang, Kwak and Park94]. Seo et al. proposed the PAA-poly(ethylene glycol) (PEG) “interpolymer complexes” as a passivation agent for high selectivity with low dishing and reported that the cross-linked network structure of interpolymer complexes significantly reduced dishing by preventing abrasives from polishing oxide in the trenches [Reference Seo, Moon, Moon and Paik95].

America and Babu [Reference America and Babu53] proposed that the COOH group of the amino acid proline can form a bidentate interaction with the Si–OH group on Si3N4 film along with H-bonding between the amino acid and Si3N4 film [Fig. 5(b)]. The bidentate bonding is observed where two or more hydrogen bonds are formed with a base or base pair. More generally, many amino acids were able to suppress the removal rates of Si3N4 film through the prevention of the hydrolysis of the Si3N4 as long as there are available in sufficient amounts during polishing. Since amino acids can also be adsorbed on the abrasive particles, free amino acids remaining in the solution must be of sufficient quantity for effective suppression of Si3N4 film removal rates. Since these amino acids are sensitive to a change of pH, the slurry pH needs to be optimized based on their pKa values [Reference Penta, Peethala, Amanapu, Melman and Babu54, Reference Penta, Amanapu and Babu96].

Hydrophobic interaction involves the adsorption of the nonpolar groups of organic molecules such as a hydrocarbon backbone (–CH2−CH2−) onto hydrophobic surfaces. Penta et al. [Reference Penta, Amanapu, Peethala and Babu97] investigated four different anionic surfactants and showed that these negatively charged surfactants could preferentially adsorb on positively charged Si3N4 film via electrostatic interactions. A monolayer of anionic surfactants is formed by electrostatic attraction between surfactants and Si3N4, followed by a secondary layer by hydrophobic interaction between the hydrophobic tails of anionic surfactants, suppressing Si3N4 removal rates. Nonionic surfactants that can preferentially adsorb on the hydrophobic poly-Si surface via hydrophobic interaction for preferential removal of SiO2 over poly-Si were studied by Lee et al. [Reference Lee, Park, Yoon, Han, Hah and Moon98], and there was a strong dependence of the selectivity on the hydrophilic–lipophilic balance value and molecular weight of nonionic surfactants.

Metal films are prone to dissolve when exposed to reactive components in the slurry, and corrosion inhibitors are added to prevent the corrosion defects such as pitting, cracking, and excessive etching during polishing. Most of the inhibitors studied for CMP applications are organic compounds containing N, S, and O atoms [Reference Aliofkhazraei99]. A metal cation can interact with aromatic rings containing conjugated bonds, π electrons, and lone-pair electrons from heteroatoms (O, N, or S). The efficiency of heteroatoms in the inhibitor molecules increases in the order of O < N < S. The corrosion inhibition of metal films involves either physisorption or chemisorption of the inhibitor on the metal surface. Electrostatic attractive force between the charged inhibitor molecules and the charged metal surface leads to physisorption. Chemisorption is due to the interaction between unshared electron pairs of the heteroatom and metal atoms to form coordinate covalent bonds. The standard adsorption free energy (ΔG°ads) can provide insight into the mechanism of corrosion inhibition. The ΔG°ads values above −20 kJ/mol and below −40 kJ/mol correspond to the physisorption and chemisorption process, respectively. Anodic inhibitors reduce the corrosion potential of metal films by oxidizing a surface layer and forming a thin passivating film on the metal. Cathodic inhibitors suppress the cathodic reaction (O2 + 2H2O + 4e = 4OH) by retarding the rate of oxygen transfer to the cathodic sites on the metal surface. Molecules with aliphatic chains are effective in suppressing the corrosion of metal films due to the attraction of nonpolar hydrophobic parts of inhibitor and polar medium [Reference Aliofkhazraei99]. The hydrophobic parts of inhibitors form a protective layer on the metal surface. Their size and molecular weight have an impact on the inhibition efficiency [Reference Malik, Hashim, Nabi, Al-Thabaiti and Khan100]. Larger the molecule, higher inhibition efficiency: R3N > R2NH > RNH2, where R is a hydrocarbon chain.

Azole derivatives such as BTA and TAZ have been widely used as corrosion inhibitors for metal films during polishing [Fig. 5(c)] [Reference Zhang, Liu, Wang, Niu, Ji, Du and Han101, Reference Mu, Zhong, Rushing, Li and Shipp102, Reference Yang, Zhang, Zhang, Wang, Yu, Wang and Liu103]. BTA can be adsorbed onto a Cu film by the coordination of the lone-pair electrons of the N atoms in BTA [Fig. 5(c)] with the Cu atoms on the surface [Reference Cotton104, Reference Xue, Ding, Lu and Dong105]. Xue et al. suggested that BTAH reacts with metallic Cu much faster than on Cu oxide and forms a polymeric passivating layer for Cu film than for copper oxides [Reference Xue, Ding, Lu and Dong105]. Also, they showed that Cu could be oxidized to Cu(I) in the presence of dissolved oxygen and form a Cu(I)BTA complex with BTA: 4Cu + 4BTAH + 2O2 ↔ Cu(I)BTA + 2H2O [Reference Xue, Ding, Lu and Dong105].

Although surfactants such as CTAB [Reference You, Seo, Kim and Song106], ammonium dodecyl sulfate [Reference Hong, Patri, Ramakrishnan, Roy and Babu65], and potassium oleate [Reference Popuri, Amanapu, Ranaweera, Baradanahalli and Babu107] were also investigated as corrosion inhibitors, BTA is the most common corrosion inhibitor used in CMP slurries. In any case, all these inhibitors can complex with metal ions and remain stable on the metal film as undesirable organic residues [Reference Cho, Shima, Hamada and Park108], and it has been very difficult to remove these organic residues during post-CMP cleaning [Reference Seo, Vegi, Ranaweera, Baradanahalli, Han, Koli and Babu109, Reference Seo, Vegi and Babu110]. So, post-CMP cleaning has become essential to remove these organic residues from the films while minimizing other defects (e.g., residual particles, foreign materials, scratches, and corrosion). Figure 6 shows a summary of the adsorption of organic compounds such as dispersants, passivation agents, and inhibitors on the abrasive particles and the wafer surfaces in the CMP system.

Figure 6: An overview of the adsorption of organic compounds such as dispersants, passivation agents, and inhibitors on the abrasive particles and the wafer surfaces.

Temperature Effects

The major sources of thermal heating during polishing are frictional dissipation and the chemical reactions of slurry components at the slurry/pad–wafer interface, leading to a change in temperature. Various thermal models of the polishing process based on kinematics [Reference Hocheng, Huang and Chen111], energy generation [Reference White, Melvin and Boning112], and a combination of frictional heating and chemical reactivity [Reference Oh and Seok113] were proposed. Infrared thermography was used to characterize the impact of thermal effects on pad life, polishing rate, and non-uniformity during polishing. Wang et al. [Reference Wang, Liu, Feng and Tseng114] reported that the exothermic reaction between the metal surface and the oxidizers in the acidic environment could increase the temperature up to 75 °C during polishing depending on CMP conditions, which was lowered by the slurry flow. White et al. [Reference White, Melvin and Boning112] proposed an energy flow mechanism into and out of the process, and an energy balance was used to predict an increase in the slurry temperature during polishing. They suggested that the heat is generated by the mechanical abrasion and the chemical reactions associated with the slurry chemistry and enthalpy is primarily transferred into the polishing pad, and then, most of the heat will be removed by convection of slurry flow, conduction, and radiation to the environment [Reference White, Melvin and Boning112]. Oh and Seok [Reference Oh and Seok113] suggested that frictional heat generated during polishing is transferred to the wafer, pad, and slurry, and plays a key role in accelerating the chemical reactions at the slurry/pad–wafer interface. Their theoretical results showed that the temperature variation of the wafer, pad, and slurry has an influence on material removal rates. Indeed, the temperature rise of the CMP process not only has an effect on the slurry viscosity, pH, particle size, and zeta-potential [Reference Mullany and Byrne30, Reference Kim, Kim, Jeong, Lee and Shin115, Reference Kim, Seo and Lee116] but also leads to delamination or peel-off of the metal films [Reference Tseng and Babu70, Reference Kakireddy117]. For example, a 5 °C change in temperature can lead to a 10% drop in slurry viscosity [Reference Mullany and Byrne30]. Kakireddy reported that thin Cu film peeled off during polishing at higher temperatures due to lower adhesion and mechanical strength [Reference Kakireddy117].

The Effect of Temperature Changes on the Chemical and Mechanical Reactions during Polishing

As stated earlier, the scope of this review paper is limited to CMP slurries and related to chemical and mechanical aspects of the CMP process, although other CMP consumables such as pad, pad conditioner, wafer carrier, and retaining ring and CMP conditions need to be considered. Figure 7 shows a simplified scheme of the complex interactions between chemical and mechanical aspects of the CMP process discussed in this review paper. It is important to emphasize that Fig. 7 helps in understanding the complex interactions between chemical and mechanical effects that occur at the pad–wafer–particle interface during polishing.

  1. (i) Case I: The materials or wafers are removed by the synergetic effects of chemical and mechanical interaction during polishing. The energy dissipation due to frictional heating is responsible for increases in the temperaturesd during polishing. The average temperature of slurry increased up to 75 °C during the W CMP process, and Wang et al. suggested that the oxidation of W film causes the temperature increase because this reaction is exothermic [Reference Wang, Liu, Feng and Tseng114]. Also, in some cases, chemical reactions like the Fenton reaction are spontaneous exothermic processes, contribute to temperature increase during polishing.

  2. (ii) Case II: As is well known, with increasing temperature of the system, the rates of chemical reactions increase [Reference Seo, You, Moon, Kim and Paik118], leading to higher removal rates [Reference Kim, Seo and Lee116, Reference Kim, Ko, Seo and Lee119, Reference Mudhivarthi, Zantye, Kumar, Kumar, Beerbom and Schlaf120]. Kim et al. reported that the slurries at higher temperatures lead to the formation of the soft layers on the surface of SiO2 film, and this layer could be easily removed by mechanical abrasion [Reference Kim, Seo and Lee116, Reference Kim, Ko, Seo and Lee119]. Mudhivarthi et al. found that an increase in the surface oxidation/dissolution rate of Cu film, resulting in higher Cu removal rates [Reference Mudhivarthi, Zantye, Kumar, Kumar, Beerbom and Schlaf120]. The corrosion rate of metal films increases with increasing the temperature, leading to a decrease in the inhibition efficiency.

    Moreover, an increase in temperature not only leads to changes in the polymer chain conformation (coiled transformed to stretched) [Reference Wiśniewska121] but also causes changes in the zeta-potentials and the pHIEP of abrasive particles and films [Reference Evenhuis, Guijt, Macka, Marriott and Haddad122], which have an influence on the adsorbed amounts and thickness of dispersants and passivation agents.

  3. (iii) Case III: Since the formation of hydrogen ions and hydroxide ions from water (H2O ↔ H++OH) is an endothermic process, the water dissociates to H+ and OH as the temperature increases. The slurry pH decreases with increasing H+ concentration at a higher temperature. Kim et al. reported that the change (ΔpH) in the pH of silica and ceria slurries as the temperature increased from 20 to 90 °C was ~1.0 and ~1.3, respectively [Reference Kim, Seo and Lee116, Reference Kim, Ko, Seo and Lee119]. It is well known that a change in pH of slurry has a significant influence on the particle–wafer interactions [Reference Abiade, Choi and Singh123, Reference Seo, Gowda, Khajornrungruang, Hamada, Song and Babu124].

    Also, the solubility of oxygen in water decreases with increasing temperature [Reference Montgomery, Thom and Cockburn125]. For example, 10.07 mg/L of oxygen can be dissolved in the water at 15 °C, while 7.54 mg/L of oxygen can be dissolved in the water at 30 °C [Reference Montgomery, Thom and Cockburn125]. The change in the dissolved oxygen concentrations can affect the oxidation and dissolution process of metal films and the surface chemistry of abrasive particles as well as their mutual interactions [Reference Srinivasan, Dandu and Babu3].

  4. (iv) Case IV: The hydrodynamic size (R h) of abrasive particles can be determined by the Stokes–Einstein relation; R h = kT/6πηD where k, T, η, and D represent Boltzmann's constant, temperature, water viscosity, and the diffusion coefficient. Since the equation includes the viscosity of the fluid medium (in our case, water) and the temperature, both parameters strongly influence on the hydrodynamic size of particles. Indeed, the viscosity of the water η decreases with increasing temperature (Case V) [Reference Korson, Drost-Hansen and Millero126]. Zeta potentials of the abrasive particles increase with temperature. Zeta potentials of particles can be obtained indirectly from the measurement of the electrophoretic mobility according to Henry's equation; U E = 2εζFa)/3η where U E is the electrophoretic mobility, ε is the relative permittivity of water, and Fa) is Henry's function (dimensionless). For particles in an aqueous medium, Fa) is 1.5 according to the Smoluchowski approximation. The variation of zeta potential of abrasive particles with temperature is explained by the known temperature dependence of the viscosity (η) and the relative permittivity (ε) of water. Evenhuis et al. reported that experimentally determined values of zeta-potential were directly proportional to the temperature [Reference Evenhuis, Guijt, Macka, Marriott and Haddad122]. Kim et al. also showed an increase in the zeta-potentials of commercial ceria slurries with increasing slurry temperatures [Reference Kim, Seo and Lee116]. It may be possible for the number of active particles that participate in material removal during polishing to be changed depending on the particle size and zeta potentials of the abrasive particles.

  5. (v) Case V, VI, and VII: The viscosity of the slurry is a measure of its resistance to deformation by shear forces. High slurry viscosity impedes the transport of the slurry components across the wafer surface. As the temperature of liquid increases, the viscosity of slurry decreases (Case V), and the reactant molecules move faster (Case VI). Also, according to the Stribeck curve [Fig. 2(b)] [Reference Stribeck27, Reference Jacobson28], a decrease in the slurry viscosity at a higher temperature may allow the direct contact between two solid films with a thin slurry film thickness resulting in an increase in COF during polishing.

Figure 7: Schematic representation of the complex interactions between chemical and mechanical effects in the actual CMP system.

In Situ Techniques to Study the Phenomena that Occur at the Slurry/pad–wafer Interface during Polishing

Research in the field of CMP has significantly increased, as the semiconductor industry grows. Numerous experimental techniques have been employed to understand particle–film–wafer interactions, but they are not adapted for in situ and real-time detection during polishing due to their dimensions and operating requirements. The actual CMP system includes the mutual interactions between chemical and mechanical effects. In situ measurement data collected during polishing are likely to provide more important insights into the underlying mechanisms of various complex reactions that occur at the slurry/pad–wafer interface. This section offers an overview of advances in the research and developments of in situ measurement studies in CMP systems and then discusses the challenges associated with these measurements. Table 2 presents a summary of in situ measurements applied in CMP systems while highlighting the main applications for each technique.

TABLE 2. Summary of in situ techniques studies in CMP systems.

Slurry film thickness was in situ monitored by analyzing the fluorescence intensities of the two different dye molecules in the slurry using a dual emission UV-enhanced fluorescence technique with a dual-camera imaging system [Fig. 2(a); Table 2] as described in the Hydrodynamic lubrication section [Reference Lu, Rogers, Manno, Philipossian, Anjur and Moinpour23, Reference Gray, Apone, Rogers, Manno, Barns, Moinpour, Anjur and Philipossian24]. Mueller et al. [Reference Mueller, Rogers, Manno, White and Moinpour127] used tracer particles to study fluid flow characteristics over pad asperities and recorded a video at 30 frames/s using high definition camera to obtain in situ slurry fluid flow data during polishing (Table 2) and manually analyzed the qualitative information of the slurry flow characteristics from the video. This tool and method enable to study wafer-scale slurry flow visualization depending on CMP conditions, slurry injection locations, and various pad types [Reference Mueller, Rogers, Manno, White and Moinpour127]. The studies that used dyes or tracer particles contain valuable information on slurry film thickness and flow characteristics [Reference Lu, Rogers, Manno, Philipossian, Anjur and Moinpour23, Reference Gray, Apone, Rogers, Manno, Barns, Moinpour, Anjur and Philipossian24, Reference Mueller, Rogers, Manno, White and Moinpour127]. However, these particles added to the slurry may not only have a significant influence on the chemical and mechanical reactions during polishing but also become embedded in the pad due to the hydrophobic interactions [Reference Mueller, Rogers, Manno, White and Moinpour127]. Moreover, the materials to be polished are limited to transparent glasses over UV and visible regions.

In situ rheo-polishing setup with a parallel-plate geometry was developed by Crawford et al. [Fig. 8(a); Table 2] [Reference Crawford, Williams, Boldridge and Liberatore34]. The top was constructed of a disposable plate, while a 2-in diameter SiO2 blanket wafer was inserted into the fixture housing with 2 mL of slurry. The gap between the top plate and the wafer surface was 30 μm, which allowed for shear rates up to 200,000 s−1. In some cases, shear-induced aggregation of particles, less than 2% of the total number of particles, was found at a high shear rate (≥10,000 s−1). Shear thickened samples generated about seven times more scratches on the wafer surface than non-thickened slurries. However, as they mentioned, this method not only has no applied normal force but also is incapable of measuring the real changes in slurry viscosity with rolling friction [Reference Crawford, Williams, Boldridge and Liberatore34].

Figure 8: (a) Rheo-polishing setup with plate fixture and 40 mm upper plate, and schematic of the cross-sectional view of the rheometer plate and tetraethyl orthosilicate (TEOS) wafer covered with CMP slurry. Adapted from Ref. [Reference Crawford, Williams, Boldridge and Liberatore34]. (b) CMP-ATR accessory with mSRE placed in the sample compartment of a FTIR spectrometer. Adapted from Ref. [Reference Künzelmann, Schumacher and Babu129]. (c) Direct observation of particle single using total internal reflection fluorescence. Adapted from Ref. [Reference Kimura, Suzuki and Khajornrungruang130]. (d) A three-electrode setup used for electrochemical studies of metal films during polishing. Adapted from Ref. [Reference Roy and Babu60].

Takaya et al. have developed a custom-built Raman spectrometer to study various interactions of Cu-abrasive, abrasive-peroxide, BTA-peroxide, and BTA-abrasive-peroxide (Table 2) [Reference Takaya, Michihata, Hayashi, Murai and Kano128]. The surface-enhanced Raman scattering (SERS) system is an amplification technique that enhances Raman signal from molecules adsorbed on rough metal surfaces. 25 nm thick Cu film deposited on 10 mm glass was used as a substrate for SERS measurements, and it is immersed in the glass cell filled with the slurry. Their SERS analysis results for BTA-abrasive-peroxide suggested that both Cu-BTA and Cu(II)-fullerenol-complex layers are formed on the Cu surface simultaneously, and these layers may inhibit the further interactions of chelating agents and oxidizer with Cu film [Reference Takaya, Michihata, Hayashi, Murai and Kano128]. However, this method is also limited to only chemical reactions without mechanical effects. Since the actual metal polishing system includes the kinetics of competitive reactions between oxidizer, chelating agent, and inhibitor when the passivating layers were removed by mechanical abrasion, mechanical abrasion and slurry flow system, which need to be considered to get more realistic results.

The ATR-FTIR technique for the in situ characterization of CMP using micro-structured single reflection elements (mSREs) was developed by U. Künzelmann and H. Schumacher (Table 2) [Reference Künzelmann, Schumacher and Babu129]. They fabricated the microstructure, consists of a periodic array of V-shaped grooves on the backside of a double-side polished 4-in Si wafer with 525 μm thickness by wet etch in hot KOH solution, which is mSRE. This element not only enables to provide single reflection ATR measurement without any collimation but also covers the entire mid-infrared region with a high optical throughput due to the short light path [Reference Künzelmann, Schumacher and Babu129]. The coupling structures of the mSRE face downwards, and the pad is pressed from its upper side and rotated against the static mSRE, while the slurry was injected between at the wafer–pad interface. With the depth around 1 μm, this technique enables to an in situ analysis of the deposition, etching, and surface modification of wafer, pad, and abrasives during polishing [Reference Künzelmann, Schumacher and Babu129]. In situ ATR-FTIR spectra of the actual CMP system are very complex and thus result in the overlapping absorptions between the main components such as a wafer, pad, and abrasives, which is a major challenge to be addressed by researchers.

Kimura et al. showed that the movement of the particles located at the glass–pad interface could be imaged in situ using evanescent wave (EW) microscopy [Fig. 8(b); Table 2] [Reference Kimura, Suzuki and Khajornrungruang130]. EW microscopy, also known as total internal reflection microscopy, coupled with video microscopy was employed to follow the movements of particles near the glass–pad interface. In their experimental setup, an EW was generated when the laser light beam having 30 mW power at 632 nm wavelength (He–Ne laser) undergoes total internal reflection at a glass–slurry interface and propagated parallel to the surface with an exponentially decaying intensity [Reference Kimura, Suzuki and Khajornrungruang130]. The EW illuminates the particles present in the slurry and has a penetration depth (~100 nm) in an imaged area of 480 × 640 mm2. The frame rate of the digital high-speed video camera was 60 frames/s (fps). They suggested that the abrasive particles adhered to the Si(OH)4 layer on the SiO2 film were removed by slurry flow, which yields the material removal. They also reported that the actual contact area between the pad and the wafer is less than 2%, and suggested that the significant material removal is caused due to the non-contact lubrication effect [Reference Kimura, Khajornrungruang, Suzuki and Okamoto132]. Since Kimura et al. showed a home-built EW imaging system coupled with polishing setup, many research groups have developed different methods to monitor in situ and in real-time the interactions between particle, pad, and wafer during post-CMP cleaning as well as polishing [Reference Terayama, Khajornrungruang, Suzuki, Kusatsu, Hamada, Wada and Hiyama133, Reference Shima, Hamada, Wada, Takatoh and Fukunaga134]. Abrasive particles move far away rapidly from the glass surface by the slurry flow and the platen rotation and sometimes disappear from the field of view, preventing long-term particle tracking in the EW field. Moreover, the smaller particles, less than 100 nm particles used in the actual CMP system, scatter less light making them more challenging to detect using EW microscopy [Reference Khajornrungruang, Korkmaz, Angshuman, Suzuki, Kimura and Babu135]. Thus, more light needs to be collected by the objective lens to make the imaging of smaller particles possible.

Local material removal phenomena were in situ observed by infrared thermography (Table 2) [Reference Suzuki, Misono, Shamoto, Goto, Yasuda and Mochizuki131]. Frictional heating is responsible for increases in the temperature during polishing. Data were obtained from an infrared image sensor with the infrared light collected through a small hole fabricated on the wafer carrier during polishing. An increase in the temperature is related to material removal efficiency. The feasibility of the in situ observation of the polishing efficiency was confirmed by the polishing experiment with a polishing pad. According to Isobe's polishing model [Reference Isobe, Akaji and Kurokawa136], they suggested that the in situ detection of instantaneous temperature variations depending on Feret's diameters of the contact area between the pad and the wafer, leading to higher material removal rate [Reference Suzuki, Misono, Shamoto, Goto, Yasuda and Mochizuki131]. As they mentioned, it is very difficult to directly measure the change in the temperature at the particle–wafer–pad interface due to the limitation of the proposed method. Also, the system needs to apply fresh slurry to the pad–wafer interface and remove warm slurry after polishing that passed under the carrier to avoid heat accumulation.

Roy's group reported an electrochemical measurement coupled with a Struers Benchtop Polisher [Reference Roy and Babu60]. The top part of the system contains a 1-in diameter coupon wafer as a working electrode embedded in a Teflon holder attached to the polishing head. And the bottom part is a Teflon container attached to the platen. Electrical connection to the working electrode and the counter electrode was made through carbon brushes pressed against Cu ring surrounding Teflon holder (Top) and Teflon container (bottom), respectively. The reference electrode equipped with a salt bridge connects to the electrolyte. This system enables to in situ measure OCP transients and potentiodynamic polarization for the metal film under controlled tribological conditions. Roy's group demonstrated that the tribology and mechanical abrasion affects various catalytic reactions of Cu, Ru, Ta, and Co surfaces during polishing by evaluating CMP systems in the tribo-electrochemical approach [Reference Roy and Babu60, Reference Shi, Simpson and Roy137, Reference Turk, Shi, Gonyer and Roy138].

In situ measurements are taken in real-time during polishing and can be interpreted immediately. Moreover, in situ measurements can give more representative information of CMP slurry characteristics, including various chemical and mechanical actions that occur at the slurry/pad–wafer interface during polishing when compared with the ex situ analysis of CMP slurries. However, as stated above, there are still several limitations that need to be addressed. Additional studies and in situ techniques will be helpful to understand the underlying mechanism taking place during the CMP process.

Challenges and Future Directions

  1. (i) Development of CMP slurries for new materials and integration schemes: As the scaling of the device dimensions runs into physical limitations, 3D integration, 3D NAND technology, and FinFET structure were employed by using new materials and processes [Reference Choe139, Reference Vegunta140]. As the architectures have changed from 2D planar to 3D vertical, new CMP steps involving new materials and complex 3D structures were proposed. Successful implementation of these schemes required developing novel CMP slurries and other consumables to achieve a range of tunable polish rates for new materials based on their characteristics such as stoichiometry, surface chemistry, hardness, chemical inertness or sensitivity, and thickness. A systematic and effective approach needs to be adopted to achieve the necessary high polishing performance, selective polishing, high planarity, low defects, etc. The research strategies, suggested by the author, for developing next-generation CMP slurries, are summarized in Fig. 9.

  2. (ii) Defect reduction and post-CMP cleaning: Devices at 7 nm node and beyond present even more stringent requirements for the level of acceptable defectivity during the CMP process. The processes become more defect sensitive and require more expensive metrology techniques with appropriate optical resolution to detect the smaller defects. CMP-induced defects caused by residual particles, foreign materials, scratches, corrosion, etc. should be avoided during polishing. Since this is not always possible, post-CMP cleaning has become a crucial step to eliminate many of these defects [Reference Seo, Gowda and Babu141, Reference Gowda, Seo, Ranaweera and Babu142, Reference Hong, Niu, Liu, He, Zhang, Wang, Han, Yan and Zhang143, Reference Alety, Lagudu, Popuri, Patlolla, Surisetty and Babu144]. Recently, the author not only showed that the rupture of a strong chemical bonding between abrasive particles and the SiO2 films via a nucleophilic attack could help remove the particles from the surface [Reference Seo, Gowda and Babu141] but also reported a stability constant-based strategy to study reagents that can remove Cu-BTA and Co-BTA complexes from various surfaces (Cu, Co, TaN, and SiO2 films) [Reference Seo, Vegi, Ranaweera, Baradanahalli, Han, Koli and Babu109, Reference Seo, Vegi and Babu110]. Fundamental understanding of the formation and characterization of various types of CMP-induced defects will immensely benefit the development of next-generation CMP slurries and post-CMP cleaning solutions.

  3. (iii) Development of environmentally friendly CMP slurries: Azoles such as BTA and TAZ are not only poorly biodegradable under wastewater treatment conditions but also lead to watermarks on the wafer surfaces and contamination of various surfaces under CMP conditions. The effluent wastewater from a semiconductor fabrication plant may contain high concentrations of azoles, etc., and will be challenging for wastewater treatment processes. Hence, the chemical additives that effectively passivate metal surfaces and exhibit sufficiently high biodegradation rates need to be considered keeping their environmental impact in mind.

  4. (iv) Toxicity issues and safety considerations [Reference Babu145, Reference Ong, Teugels and Babu146] Toxic gases (e.g., PH3, AsH3) and the As containing waste slurries can be generated during the polishing of III–V materials such as GaAs, InGaAs, InAs, and InP. Hence, the goal of the polishing of III–V materials should be achieving high planarity without generating toxic by-products. In the acidic pH, Ru film can be converted to highly volatile RuO4, a toxic gas. The addition of complexing agents such as carboxylic acids can help to reduce gas evolution during polishing. This is an example of tailoring slurry chemistry to minimize the formation of toxic by-products through a careful selection of oxidizer, complexing agent, corrosion inhibitor, and the slurry pH. Tetramethylammonium hydroxide (TMAH), a strong organic base, is also one of the materials showing a high level of concern. TMAH has been widely used as a developer, cleaning agent, pH adjuster, and etchant in the semiconductor industry, but it can cause fatal damage to nerves and muscles in a short period after exposure by contact [Reference Lin, Yang, Ger, Deng and Hung147]. Hence, there has been increasing demand to develop less toxic substitutes for TMAH and improve/control the use of TMAH.

Figure 9: Schematic diagram of some research strategies for developing next-generation CMP slurries.

As stated earlier, the challenges and future research directions discussed here were related to CMP slurries and related to chemical and mechanical aspects of the CMP process. There has been significant progress in understanding the fundamental science and technology of CMP for the past several decades. Nevertheless, most of the models, methodologies, and techniques generally use laboratory-scale model systems under the assumptions about process parameters, which may or may not be relevant to explain high-volume-production fab-based CMP processes [Reference Roy148]. A close collaboration between academic and industrial R&D will help us to move forward with understanding the fundamental principles and technologies of the actual CMP process by minimizing the gaps between lab-based CMP models and fab-based CMP processes.

Acknowledgment

The author gratefully acknowledges Prof. S.V. Babu and Prof. D. Roy for many useful discussions, valuable comments, and suggestions.

References

Krishnan, M., Nalaskowski, J.W., and Cook, L.M.: Chemical mechanical planarization: Slurry chemistry, materials, and mechanisms. Chem. Rev. 110, 178 (2009).CrossRefGoogle Scholar
Suryadevara, B.: Advances in Chemical Mechanical Planarization (CMP) (Woodhead Publishing, Cambridge, 2016).Google Scholar
Srinivasan, R., Dandu, P.V., and Babu, S.: Shallow trench isolation chemical mechanical planarization: A review. ECS J. Solid State Sci. Technol. 4, P5029 (2015).CrossRefGoogle Scholar
Lin, J., Liu, H., Lin, W., Lin, C., Hung, T., Li, K., Lin, J., Wang, J., Liu, C., and Wu, J.: Process development of replacement metal gate Tungsten chemical mechanical polishing on 14 nm technology node and beyond. In 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM) (IEEE, San Jose, 2015), p. 115.CrossRefGoogle Scholar
Kamineni, V., Raymond, M., Siddiqui, S., Mont, F., Tsai, S., Niu, C., Labonte, A., Labelle, C., Fan, S., and Peethala, B.: Tungsten and cobalt metallization: A material study for MOL local interconnects. In Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), 2016 IEEE International (IEEE, San Jose, 2016), p. 105.CrossRefGoogle Scholar
Krishnan, M. and Lofaro, M.: Copper chemical mechanical planarization (Cu CMP) challenges in 22 nm back-end-of-line (BEOL) and beyond .In Advances in Chemical Mechanical Planarization (CMP), Babu, S.V., ed. (Woodhead Publishing, Cambridge, 2016), pp. 27.CrossRefGoogle Scholar
Seo, J. and Paik, U.: Preparation and characterization of slurry for chemical mechanical planarization (CMP) .In Advances in Chemical Mechanical Planarization (CMP), Babu, S.V., ed. (Woodhead Publishing, Cambridge, 2016), pp. 273.CrossRefGoogle Scholar
Kim, H.J.: Abrasive for Chemical Mechanical Polishing .In AbrasiveTechnology: Characteristics and Applications, Rudawska, A, ed. (BoD–Books on Demand, 2018), pp. 183.Google Scholar
Basim, G., Adler, J., Mahajan, U., Singh, R., and Moudgil, B.: Effect of particle size of chemical mechanical polishing slurries for enhanced polishing with minimal defects. J. Electrochem. Soc. 147, 3523 (2000).CrossRefGoogle Scholar
Luo, J. and Dornfeld, D.A.: Effects of abrasive size distribution in chemical mechanical planarization: Modeling and verification. IEEE Trans. Semicond. Manuf. 16, 469 (2003).Google Scholar
Luo, J. and Dornfeld, D.A.: Material removal mechanism in chemical mechanical polishing: Theory and modeling. IEEE Trans. Semicond. Manuf. 14, 112 (2001).Google Scholar
Lee, H., Jeong, H., and Dornfeld, D.: Semi-empirical material removal rate distribution model for SiO2 chemical mechanical polishing (CMP) processes. Precis. Eng. 37, 483 (2013).CrossRefGoogle Scholar
Lee, C., Lee, H., Jeong, M., and Jeong, H.: A study on the correlation between pad property and material removal rate in CMP. Int. J. Precis. Eng. Manuf. 12, 917 (2011).CrossRefGoogle Scholar
Park, K. and Jeong, H.: Investigation of pad surface topography distribution for material removal uniformity in CMP process. J. Electrochem. Soc. 155, H595 (2008).CrossRefGoogle Scholar
Borucki, L.: Confocal microscopy pad sample holder that measures displacement and method of using the same. U.S. Patent No. 7,869,027, 2011.Google Scholar
Mu, Y., Han, R., Sampurno, Y., Zhuang, Y., and Philipossian, A.: Effect of pad surface micro-texture on removal rate during tungsten chemical mechanical planarization. ECS J. Solid State Sci. Technol. 5, P345 (2016).CrossRefGoogle Scholar
Mariscal, J.C., McAllister, J., Sampurno, Y., Suarez, J.S., Borucki, L., and Philipossian, A.: Insights into tungsten chemical mechanical planarization: Part II. Effect of pad surface micro-texture on frictional, thermal and kinetic aspects of the process. ECS J. Solid State Sci. Technol. 8, P3175 (2019).CrossRefGoogle Scholar
Lee, H.: Semi-empirical material removal model with modified real contact area for CMP. Int. J. Precis. Eng. Manuf. 20, 1325 (2019).CrossRefGoogle Scholar
Preston, F.: The theory and design of plate glass polishing machines. J. Glass Technol. 11, 214 (1927).Google Scholar
Fan, W. and Boning, D.: Multiscale modeling of chemical mechanical planarization (CMP) .In Advances in Chemical Mechanical Planarization (CMP), Babu, S.V., ed. (Woodhead Publishing, Cambridge, 2016), pp. 137.CrossRefGoogle Scholar
Tseng, W.T. and Wang, Y.L.: Re-examination of pressure and speed dependences of removal rate during chemical-mechanical polishing processes. J. Electrochem. Soc. 144, L15 (1997).CrossRefGoogle Scholar
Sundararajan, S., Thakurta, D.G., Schwendeman, D.W., Murarka, S.P., and Gill, W.N.: Two-dimensional wafer-scale chemical mechanical planarization models based on lubrication theory and mass transport. J. Electrochem. Soc. 146, 761 (1999).CrossRefGoogle Scholar
Lu, J., Rogers, C., Manno, V., Philipossian, A., Anjur, S., and Moinpour, M.: Measurements of slurry film thickness and wafer drag during CMP. J. Electrochem. Soc. 151, G241 (2004).CrossRefGoogle Scholar
Gray, C., Apone, D., Rogers, C., Manno, V.P., Barns, C., Moinpour, M., Anjur, S., and Philipossian, A.: Viewing asperity behavior under the wafer during CMP. Electrochem. Solid-State Lett. 8, G109 (2005).CrossRefGoogle Scholar
Rosales-Yeomans, D., Lee, H., Suzuki, T., and Philipossian, A.: Effect of concentric slanted pad groove patterns on slurry flow during chemical mechanical planarization. Thin Solid Films 520, 2224 (2012).CrossRefGoogle Scholar
Li, Z., Lee, H., Borucki, L., Rogers, C., Kikuma, R., Rikita, N., Nagasawa, K., and Philipossian, A.: Effects of disk design and kinematics of conditioners on process hydrodynamics during copper CMP. J. Electrochem. Soc. 153, G399 (2006).CrossRefGoogle Scholar
Stribeck, R.: Kugellager für beliebige Belastungen (Buchdruckerei AW Schade, Berlin, 1901).Google Scholar
Jacobson, B.: The Stribeck memorial lecture. Tribol. Int. 36, 781 (2003).CrossRefGoogle Scholar
Hersey, M.D.: The laws of lubrication of horizontal journal bearings. J. Wash. Acad. Sci. 4, 542 (1914).Google Scholar
Mullany, B. and Byrne, G.: The effect of slurry viscosity on chemical–mechanical polishing of silicon wafers. J. Mater. Process. Technol. 132, 28 (2003).CrossRefGoogle Scholar
Han, R., Sampurno, Y., Theng, S., Sudargho, F., Zhuang, Y., and Philipossian, A.: Application of the Stribeck+ curve in silicon dioxide chemical mechanical planarization. ECS J. Solid State Sci. Technol. 6, P161 (2017).CrossRefGoogle Scholar
Bahr, M., Sampurno, Y., Han, R., and Philipossian, A.: Improvements in Stribeck curves for copper and tungsten chemical mechanical planarization on soft pads. ECS J. Solid State Sci. Technol. 6, P290 (2017).CrossRefGoogle Scholar
Lortz, W., Menzel, F., Brandes, R., Klaessig, F., Knothe, T., and Shibasaki, T.: News from the M in CMP—Viscosity of CMP slurries, a constant? MRS Online Proc. Libr. 767, F1.7.1F1.7.10 (2003).CrossRefGoogle Scholar
Crawford, N.C., Williams, S.K.R., Boldridge, D., and Liberatore, M.W.: Shear thickening and defect formation of fumed silica CMP slurries. Colloids Surf., A 436, 87 (2013).CrossRefGoogle Scholar
Raghavan, S.R. and Khan, S.A.: Shear-induced microstructural changes in flocculated suspensions of fumed silica. J. Rheol. 39, 1311 (1995).CrossRefGoogle Scholar
Khanna, A.J., Gupta, S., Kumar, P., Chang, F.-C., and Singh, R.K.: Quantification of shear induced agglomeration in chemical mechanical polishing slurries under different chemical environments. Microelectron. Eng. 210, 1 (2019).CrossRefGoogle Scholar
Khanna, A.J., Gupta, S., Kumar, P., Chang, F.-C., and Singh, R.K.: Study of agglomeration behavior of chemical mechanical polishing slurry under controlled shear environments. ECS J. Solid State Sci. Technol. 7, P238 (2018).CrossRefGoogle Scholar
Sorooshian, A., Ashwani, R., Choi, H., Moinpour, M., Oehler, A., and Tregub, A.: Effect of particle interaction on agglomeration of silica-based CMP slurries. MRS Online Proc. Libr. 816, K4.9.1K4.9.7 (2004).CrossRefGoogle Scholar
Brown, N.J., Baker, P.C., and Maney, R.T.: Optical polishing of metals. In 25th Annual Technical Symposium (International Society for Optics and Photonics, San Diego, 1982), p. 42.CrossRefGoogle Scholar
Cook, L.M.: Chemical processes in glass polishing. J. Non-Cryst. Solids 120, 152 (1990).CrossRefGoogle Scholar
Laarz, E., Zhmud, B.V., and Bergström, L.: Dissolution and deagglomeration of silicon nitride in aqueous medium. J. Am. Ceram. Soc. 83, 2394 (2000).CrossRefGoogle Scholar
Iler, R.K.: The Chemistry of Silica (Wiley, New York, 1979).Google Scholar
Hoshino, T., Kurata, Y., Terasaki, Y., and Susa, K.: Mechanism of polishing of SiO2 films by CeO2 particles. J. Non-Cryst. Solids 283, 129 (2001).CrossRefGoogle Scholar
Dandu, P.V., Peethala, B., and Babu, S.: Role of different additives on silicon dioxide film removal rate during chemical mechanical polishing using ceria-based dispersions.J. Electrochem. Soc. 157, H869 (2010).CrossRefGoogle Scholar
Dandu, P.V., Peethala, B., Amanapu, H., and Babu, S.: Silicon nitride film removal during chemical mechanical polishing using ceria-based dispersions. J. Electrochem. Soc. 158, H763 (2011).CrossRefGoogle Scholar
Dandu, P.V., Devarapalli, V., and Babu, S.: Reverse selectivity—High silicon nitride and low silicon dioxide removal rates using ceria abrasive-based dispersions. J. Colloid Interface Sci. 347, 267 (2010).CrossRefGoogle Scholar
Cheng, J., Huang, S., Li, Y., Wang, T., Xie, L., and Lu, X.: RE (La, Nd and Yb) doped CeO2 abrasive particles for chemical mechanical polishing of dielectric materials: Experimental and computational analysis. Appl. Surf. Sci. 506, 144668 (2020).CrossRefGoogle Scholar
Praveen, B., Cho, B.-J., Park, J.-G., and Ramanathan, S.: Effect of lanthanum doping in ceria abrasives on chemical mechanical polishing selectivity for shallow trench isolation. Mater. Sci. Semicond. Process. 33, 161 (2015).CrossRefGoogle Scholar
Kim, K., Seo, J., Lee, M., Moon, J., Lee, K., Yi, D.K., and Paik, U.: Ce3+-enriched core–shell ceria nanoparticles for silicate adsorption. J. Mater. Res. 32, 2829 (2017).CrossRefGoogle Scholar
Hu, Y., Gutmann, R., and Chow, T.: Silicon nitride chemical mechanical polishing mechanisms. J. Electrochem. Soc. 145, 3919 (1998).CrossRefGoogle Scholar
Raider, S., Flitsch, R., Aboaf, J., and Pliskin, W.: Surface oxidation of silicon nitride films. J. Electrochem. Soc. 123, 560 (1976).CrossRefGoogle Scholar
Iler, R.: The Chemistry of Silica (Wiley-Interscience, New York, 1979).Google Scholar
America, W.G. and Babu, S.: Slurry additive effects on the suppression of silicon nitride removal during CMP. Electrochem. Solid-State Lett. 7, G327 (2004).CrossRefGoogle Scholar
Penta, N.K., Peethala, B., Amanapu, H., Melman, A., and Babu, S.: Role of hydrogen bonding on the adsorption of several amino acids on SiO2 and Si3N4 and selective polishing of these materials using ceria dispersions. Colloids Surf., A 429, 67 (2013).CrossRefGoogle Scholar
Alety, S.R., Sagi, K.V., and Babu, S.: Role of Ce3+ ions in achieving high silicon nitride polish rates. ECS J. Solid State Sci. Technol. 6, P898 (2017).CrossRefGoogle Scholar
Kaufman, F., Thompson, D., Broadie, R., Jaso, M., Guthrie, W., Pearson, D., and Small, M.: Chemical-mechanical polishing for fabricating patterned W metal features as chip interconnects. J. Electrochem. Soc. 138, 3460 (1991).CrossRefGoogle Scholar
Paul, E.: Application of a CMP model to tungsten CMP. J. Electrochem. Soc. 148, G359 (2001).CrossRefGoogle Scholar
Paul, E., Kaufman, F., Brusic, V., Zhang, J., Sun, F., and Vacassy, R.: A model of copper CMP. J. Electrochem. Soc. 152, G322 (2005).CrossRefGoogle Scholar
Paul, E. and Vacassy, R.: A model of CMP: III. Inhibitors. J. Electrochem. Soc. 150, G739 (2003).CrossRefGoogle Scholar
Roy, D.: Electrochemical techniques and their applications for chemical mechanical planarization (CMP) of metal films .In Advances in Chemical Mechanical Planarization (CMP), Babu, S.V., ed. (Woodhead Publishing, Cambridge, 2016), pp. 47.CrossRefGoogle Scholar
Lee, D., Lee, H., and Jeong, H.: Slurry components in metal chemical mechanical planarization (CMP) process: A review. Int. J. Precis. Eng. Manuf. 17, 1751 (2016).CrossRefGoogle Scholar
Seo, J., Kim, J.H., Lee, M., You, K., Moon, J., Lee, D.-H., and Paik, U.: Multi-objective optimization of tungsten CMP slurry for advanced semiconductor manufacturing using a response surface methodology. Mater. Des. 117, 131 (2017).CrossRefGoogle Scholar
Du, T., Tamboli, D., Luo, Y., and Desai, V.: Electrochemical characterization of copper chemical mechanical planarization in KIO3 slurry. Appl. Surf. Sci. 229, 167 (2004).CrossRefGoogle Scholar
Ranaweera, C., Baradanahalli, N., Popuri, R., Seo, J., and Babu, S.: Ammonium persulfate and potassium oleate containing silica dispersions for chemical mechanical polishing for cobalt interconnect applications.ECS J. Solid State Sci. Technol. 8, P3001 (2019).CrossRefGoogle Scholar
Hong, Y., Patri, U., Ramakrishnan, S., Roy, D., and Babu, S.: Utility of dodecyl sulfate surfactants as dissolution inhibitors in chemical mechanical planarization of copper. J. Mater. Res. 20, 3413 (2005).CrossRefGoogle Scholar
Hegde, S., Patri, U.B., and Babu, S.: Chemical-mechanical polishing of copper using molybdenum dioxide slurry. J. Mater. Res. 20, 2553 (2005).CrossRefGoogle Scholar
Lim, J.-H., Park, J.-H., and Park, J.-G.: Effect of iron (III) nitrate concentration on tungsten chemical-mechanical-planarization performance. Appl. Surf. Sci. 282, 512 (2013).CrossRefGoogle Scholar
Aksu, S. and Doyle, F.M.: The role of glycine in the chemical mechanical planarization of copper. J. Electrochem. Soc. 149, G352 (2002).CrossRefGoogle Scholar
Popuri, R., Sagi, K., Alety, S., Peethala, B., Amanapu, H., Patlolla, R., and Babu, S.: Citric acid as a complexing agent in chemical mechanical polishing slurries for cobalt films for interconnect applications. ECS J. Solid State Sci. Technol. 6, P594 (2017).CrossRefGoogle Scholar
Tseng, W.-T.: Approaches to defect characterization, mitigation, and reduction .In Advances in Chemical Mechanical Planarization (CMP), Babu, S.V., ed. (Woodhead Publishing, Cambridge, 2016), pp. 433.CrossRefGoogle Scholar
Sulyma, C.M. and Roy, D.: Electrochemical characterization of surface complexes formed on Cu and Ta in succinic acid based solutions used for chemical mechanical planarization. Appl. Surf. Sci. 256, 2583 (2010).CrossRefGoogle Scholar
Verink, E.: Simplified procedure for constructing Pourbaix diagrams .In Uhlig's Corrosion Handbook, Winston Revie, R., ed. (John Wiley & Sons, Vol. 7, Hoboken, NJ, USA, 2011), pp. 111.Google Scholar
Oliver, M.R.: Chemical-Mechanical Planarization of Semiconductor Materials (Springer Science & Business Media, Berlin, 2013).Google Scholar
Peethala, B., Roy, D., and Babu, S.: Controlling the galvanic corrosion of copper during chemical mechanical planarization of ruthenium barrier films. Electrochem. Solid-State Lett. 14, H306 (2011).CrossRefGoogle Scholar
Chockalingam, A.M., Lagudu, U.R.K., and Babu, S.: Potassium periodate-based solutions for minimizing galvanic corrosion at the Cu–Mn interface and for polishing the associated Cu interconnect structures.ECS J. Solid State Sci. Technol. 2, P160 (2013).CrossRefGoogle Scholar
Jiang, L., He, Y., Niu, X., Li, Y., and Luo, J.: Synergetic effect of benzotriazole and non-ionic surfactant on copper chemical mechanical polishing in KIO4-based slurries. Thin Solid Films 558, 272 (2014).CrossRefGoogle Scholar
Farrokhpay, S.: A review of polymeric dispersant stabilisation of titania pigment. Adv. Colloid Interface Sci. 151, 24 (2009).CrossRefGoogle ScholarPubMed
Seo, J., Lee, J.W., Moon, J., Sigmund, W., and Paik, U.: Role of the surface chemistry of ceria surfaces on silicate adsorption. ACS Appl. Mater. Interfaces 6, 7388 (2014).CrossRefGoogle ScholarPubMed
Kim, S.-K., Lee, S., Paik, U., Katoh, T., and Park, J.-G.: Influence of the electrokinetic behaviors of abrasive ceria particles and the deposited plasma-enhanced tetraethylorthosilicate and chemically vapor deposited Si3N4 films in an aqueous medium on chemical mechanical planarization for shallow trench isolation. J. Mater. Res. 18, 2163 (2003).CrossRefGoogle Scholar
Hackley, V.A.: Colloidal processing of silicon nitride with poly(acrylic acid): I, adsorption and electrostatic interactions.J. Am. Ceram. Soc. 80, 2315 (1997).CrossRefGoogle Scholar
Sehgal, A., Lalatonne, Y., Berret, J.-F., and Morvan, M.: Precipitation−redispersion of cerium oxide nanoparticles with poly(acrylic acid): Toward stable dispersions. Langmuir 21, 9359 (2005).CrossRefGoogle ScholarPubMed
Hidber, P.C., Graule, T.J., and Gauckler, L.J.: Citric acid—A dispersant for aqueous alumina suspensions.J. Am. Ceram. Soc. 79, 1857 (1996).CrossRefGoogle Scholar
Ohtsuka, H., Mizutani, H., Satoshi, I., Asai, K., Kiguchi, T., Satone, H., Mori, T., and Tsubaki, J.: Effects of sintering additives on dispersion properties of Al2O3 slurry containing polyacrylic acid dispersant. J. Eur. Ceram. Soc. 31, 517 (2011).CrossRefGoogle Scholar
Song, M.-G., Lee, J.-h., Lee, Y.-G., and Koo, J.-h.: Stabilization of gamma alumina slurry for chemical–mechanical polishing of copper. J. Colloid Interface Sci. 300, 603 (2006).CrossRefGoogle ScholarPubMed
Dylla-Spears, R., Wong, L., Miller, P.E., Feit, M.D., Steele, W., and Suratwala, T.: Charged micelle halo mechanism for agglomeration reduction in metal oxide particle based polishing slurries.Colloids Surf., A 447, 32 (2014).CrossRefGoogle Scholar
Tseng, W.-T., Kuo, P.-L., Liao, C.-L., Lu, R., and Lin, J.-F.: Novel polymeric surfactants for improving chemical mechanical polishing performance of silicon oxide. Electrochem. Solid-State Lett. 4, G42 (2001).CrossRefGoogle Scholar
Pan, Y., Lu, X., Pan, G., Liu, Y., and Luo, J.: Performance of sodium dodecyl sulfate in slurry with glycine and hydrogen peroxide for copper-chemical mechanical polishing. J. Electrochem. Soc. 157, H1082 (2010).CrossRefGoogle Scholar
Seo, J., Yoon, K.S., Moon, J., Kim, K., Sigmund, W., and Paik, U.: Effects of physico-chemical properties between poly(ethyleneimine) and silica abrasive on copper chemical mechanical planarization. Microelectron. Eng. 113, 50 (2014).CrossRefGoogle Scholar
Basim, G.B., Vakarelski, I.U., and Moudgil, B.M.: Role of interaction forces in controlling the stability and polishing performance of CMP slurries. J. Colloid Interface Sci. 263, 506 (2003).CrossRefGoogle ScholarPubMed
Cho, C.-W., Kim, S.-K., Paik, U., Park, J.-G., and Sigmund, W.M.: Atomic force microscopy study of the role of molecular weight of poly(acrylic acid) in chemical mechanical planarization for shallow trench isolation. J. Mater. Res. 21, 473 (2006).CrossRefGoogle Scholar
Kim, S., So, J.-H., Lee, D.-J., and Yang, S.-M.: Adsorption behavior of anionic polyelectrolyte for chemical mechanical polishing (CMP). J. Colloid Interface Sci. 319, 48 (2008).CrossRefGoogle Scholar
Kim, Y.-H., Lee, S.-M., Lee, K.-J., Paik, U., and Park, J.-G.: Constraints on removal of Si3N4 film with conformation-controlled poly(acrylic acid) in shallow-trench isolation chemical–mechanical planarization (STI CMP). J. Mater. Res. 23, 49 (2008).CrossRefGoogle Scholar
Kim, Y.-H., Jung, Y.-G., Yoon, G.S., Moon, J., Watanabe, A., Naito, M., and Paik, U.: Non-prestonian behavior of rectangular shaped ceria slurry in shallow trench isolation chemical mechanical planarization. J. Nanosci. Nanotechnol. 12, 2810 (2012).CrossRefGoogle ScholarPubMed
Park, J.-H., Cui, H., Cho, J.-Y., Hwang, H.-S., Hwang, W.-J., Paik, U., Kang, H.-G., Kwak, N.-J., and Park, J.-G.: Multiselectivity chemical mechanical polishing for NAND flash memories beyond 32 nm. J. Electrochem. Soc. 157, H607 (2010).CrossRefGoogle Scholar
Seo, J., Moon, J., Moon, S., and Paik, U.: Interpolymer complexes of poly(acrylic acid) and poly(ethylene glycol) for low dishing in STI CMP. Appl. Surf. Sci. 353, 499 (2015).CrossRefGoogle Scholar
Penta, N.K., Amanapu, H., and Babu, S.: Further investigation of slurry additives for selective polishing of SiO2 films over Si3N4 using ceria dispersions. ECS J. Solid State Sci. Technol. 4, P5025 (2015).CrossRefGoogle Scholar
Penta, N.K., Amanapu, H., Peethala, B., and Babu, S.: Use of anionic surfactants for selective polishing of silicon dioxide over silicon nitride films using colloidal silica-based slurries. Appl. Surf. Sci. 283, 986 (2013).CrossRefGoogle Scholar
Lee, J.-D., Park, Y.-R., Yoon, B.U., Han, Y.-P., Hah, S. and Moon, J.-T.: Effects of nonionic surfactants on oxide-to-polysilicon selectivity during chemical mechanical polishing. J. Electrochem. Soc. 149, G477 (2002).CrossRefGoogle Scholar
Aliofkhazraei, M.: Corrosion Inhibitors, Principles and Recent Applications (BoD—Books on Demand, Norderstedt, 2018).CrossRefGoogle Scholar
Malik, M.A., Hashim, M.A., Nabi, F., Al-Thabaiti, S.A., and Khan, Z.: Anti-corrosion ability of surfactants: A review. Int. J. Electrochem. Sci. 6, 1927 (2011).Google Scholar
Zhang, W., Liu, Y., Wang, C., Niu, X., Ji, J., Du, Y., and Han, L.: Role of 1, 2, 4-triazole in Co/Cu removal rate selectivity and galvanic corrosion during barrier CMP.ECS J. Solid State Sci. Technol. 6, P786 (2017).CrossRefGoogle Scholar
Mu, Y., Zhong, M., Rushing, K.J., Li, Y., and Shipp, D.A.: Benzotriazole as a passivating agent during chemical mechanical planarization of Ni–P alloy substrates. Appl. Surf. Sci. 315, 190 (2014).CrossRefGoogle Scholar
Yang, S., Zhang, B., Zhang, Q., Wang, R., Yu, X., Wang, C., and Liu, Y.: A study of cobalt galvanic and pitting corrosion with combination of BTA and PMP.ECS J. Solid State Sci. Technol. 8, P416 (2019).CrossRefGoogle Scholar
Cotton, J.: 2nd International Congress on Metallic Corrosion (NACE, New York, 1963).Google Scholar
Xue, G., Ding, J., Lu, P., and Dong, J.: SERS, XPS, and electroanalytical studies of the chemisorption of benzotriazole on a freshly etched surface and an oxidized surface of copper. J. Phys. Chem. 95, 7380 (1991).CrossRefGoogle Scholar
You, K., Seo, J., Kim, P.J.H., and Song, T.: Control of tungsten protrusion with surface active agent during tungsten chemical mechanical polishing.ECS J. Solid State Sci. Technol. 6, P822 (2017).CrossRefGoogle Scholar
Popuri, R., Amanapu, H., Ranaweera, C., Baradanahalli, N., and Babu, S.: Potassium oleate as a dissolution and corrosion inhibitor during chemical mechanical planarization of chemical vapor deposited Co films for interconnect applications.ECS J. Solid State Sci. Technol. 6, P845 (2017).CrossRefGoogle Scholar
Cho, B.-J., Shima, S., Hamada, S., and Park, J.-G.: Investigation of cu-BTA complex formation during Cu chemical mechanical planarization process. Appl. Surf. Sci. 384, 505 (2016).CrossRefGoogle Scholar
Seo, J., Vegi, S.H., Ranaweera, C., Baradanahalli, N., Han, J.-H., Koli, D., and Babu, S.: Formation of cobalt-BTA complexes and their removal from various surfaces relevant to cobalt interconnect applications.ECS J. Solid State Sci. Technol. 8, P3009 (2019).CrossRefGoogle Scholar
Seo, J., Vegi, S.H., and Babu, S.: Post-CMP cleaning solutions for the removal of organic contaminants with reduced galvanic corrosion at copper/cobalt interface for advanced Cu interconnect applications. ECS J. Solid State Sci. Technol. 8, P379 (2019).CrossRefGoogle Scholar
Hocheng, H., Huang, Y.L., and Chen, L.J.: Kinematic analysis and measurement of temperature rise on a pad in chemical mechanical planarization. J. Electrochem. Soc. 146, 4236 (1999).CrossRefGoogle Scholar
White, D., Melvin, J., and Boning, D.: Characterization and modeling of dynamic thermal behavior in CMP. J. Electrochem. Soc. 150, G271 (2003).CrossRefGoogle Scholar
Oh, S., and Seok, J.: Modeling of chemical–mechanical polishing considering thermal coupling effects. Microelectron. Eng. 85, 2191 (2008).CrossRefGoogle Scholar
Wang, Y.-L., Liu, C., Feng, M.-S., and Tseng, W.-T.: The exothermic reaction and temperature measurement for tungsten CMP technology and its application on endpoint detection. Mater. Chem. Phys. 52, 17 (1998).CrossRefGoogle Scholar
Kim, H., Kim, H., Jeong, H., Lee, E., and Shin, Y.: Friction and thermal phenomena in chemical mechanical polishing. J. Mater. Process. Technol. 130, 334 (2002).CrossRefGoogle Scholar
Kim, N.-H., Seo, Y.-J., and Lee, W.-S.: Temperature effects of pad conditioning process on oxide CMP: Polishing pad, slurry characteristics, and surface reactions. Microelectron. Eng. 83, 362 (2006).CrossRefGoogle Scholar
Kakireddy, V.R.R.: Effect of temperature on copper chemical mechanical planarization (Ph. D. dissertation). University of South Florida, Tampa, Florida, USA (2007).Google Scholar
Seo, J., You, K., Moon, J., Kim, J.H., and Paik, U.: Communication—Corrosion behavior of tungsten metal gate in the presence of hydrogen peroxide at acidic medium.ECS J. Solid State Sci. Technol. 6, P169 (2017).CrossRefGoogle Scholar
Kim, N.-H., Ko, P.-J., Seo, Y.-J. and Lee, W.-S.: Improvement of TEOS-chemical mechanical polishing performance by control of slurry temperature. Microelectron. Eng. 83(2), 286 (2006).CrossRefGoogle Scholar
Mudhivarthi, S., Zantye, P.B., Kumar, A., Kumar, A., Beerbom, M., and Schlaf, R.: Effect of temperature on tribological, electrochemical, and surface properties during copper CMP. Electrochem. Solid-State Lett. 8, G241 (2005).CrossRefGoogle Scholar
Wiśniewska, M.: Influences of polyacrylic acid adsorption and temperature on the alumina suspension stability. Powder Technol. 198, 258 (2010).CrossRefGoogle Scholar
Evenhuis, C.J., Guijt, R.M., Macka, M., Marriott, P.J., and Haddad, P.R.: Variation of zeta-potential with temperature in fused-silica capillaries used for capillary electrophoresis. Electrophoresis 27, 672 (2006).CrossRefGoogle ScholarPubMed
Abiade, J.T., Choi, W., and Singh, R.K.: Effect of pH on ceria–silica interactions during chemical mechanical polishing. J. Mater. Res. 20, 1139 (2005).CrossRefGoogle Scholar
Seo, J., Gowda, A., Khajornrungruang, P., Hamada, S., Song, T., and Babu, S.: Trajectories, diffusion, and interactions of single ceria particles on a glass surface observed by evanescent wave microscopy. J. Mater. Res., 35, P1P321 (2020).CrossRefGoogle Scholar
Montgomery, H., Thom, N., and Cockburn, A.: Determination of dissolved oxygen by the Winkler method and the solubility of oxygen in pure water and sea water. J. Appl. Chem. 14, 280 (1964).CrossRefGoogle Scholar
Korson, L., Drost-Hansen, W., and Millero, F.J.: Viscosity of water at various temperatures. J. Phys. Chem. 73, 34 (1969).CrossRefGoogle Scholar
Mueller, N., Rogers, C., Manno, V.P., White, R., and Moinpour, M.: In situ investigation of slurry flow fields during CMP. J. Electrochem. Soc. 156, H908 (2009).CrossRefGoogle Scholar
Takaya, Y., Michihata, M., Hayashi, T., Murai, R., and Kano, K.: Surface analysis of the chemical polishing process using a fullerenol slurry by Raman spectroscopy under surface plasmon excitation. CIRP Ann. 62, 571 (2013).CrossRefGoogle Scholar
Künzelmann, U., and Schumacher, H.: Characterization of surface processes during oxide CMP by in situ FTIR spectroscopy .In Advances in Chemical Mechanical Planarization (CMP), Babu, S.V., ed. (Woodhead Publishing, 2016), pp. 359.CrossRefGoogle Scholar
Kimura, K., Suzuki, K., and Khajornrungruang, P.: Study on fine particle behavior in slurry flow between wafer and polishing pad as a material removal process in CMP. In ICPT 2012—International Conference on Planarization/CMP Technology (IEEE, Grenoble, 2012), p. 1.Google Scholar
Suzuki, N., Misono, H., Shamoto, E., Goto, S., Yasuda, H., and Mochizuki, Y.: A novel technique for in-situ observation of local polishing efficiency by utilizing infrared thermography. In ICPT (IEEE, Seoul, 2018).Google Scholar
Kimura, K., Khajornrungruang, P., Suzuki, K., and Okamoto, E.: Study on wafer and polishing pad surface contact with stationary and dynamic behavior. In ICPT Proceedings (IEEE, Phoenix, 2010), p. 19.Google Scholar
Terayama, Y., Khajornrungruang, P., Suzuki, K., Kusatsu, K., Hamada, S., Wada, Y., and Hiyama, H.: Real time nanoscale cleaning phenomenon observation during PVA brush scrubbing by evanescent field. ECS Trans. 92, 191 (2019).CrossRefGoogle Scholar
Shima, S., Hamada, S., Wada, Y., Takatoh, C., and Fukunaga, A.: Metrologies of abrasive behaviors for understanding and upgrading CMP process. In 2016 International Symposium on Semiconductor Manufacturing (ISSM) (IEEE, Tokyo, 2016), p. 1.CrossRefGoogle Scholar
Khajornrungruang, P., Korkmaz, S., Angshuman, P., Suzuki, K., Kimura, K., and Babu, S.: Light scattering model for individual sub-100-nm particle size determination in an evanescent field. Jpn. J. Appl. Phys. 55, 06JG02 (2016).CrossRefGoogle Scholar
Isobe, A., Akaji, M., and Kurokawa, S.: Proposal of new polishing mechanism based on Feret's diameter of contact area between polishing pad and wafer. Jpn. J. Appl. Phys. 52, 126503 (2013).CrossRefGoogle Scholar
Shi, X., Simpson, D., and Roy, D.: Tribo-electrochemical characterization of Ru, Ta and Cu CMP systems using percarbonate based solutions. ECS J. Solid State Sci. Technol. 4, P5058 (2015).CrossRefGoogle Scholar
Turk, M., Shi, X., Gonyer, D., and Roy, D.: Chemical and mechanical aspects of a Co–Cu planarization scheme based on an alkaline slurry formulation.ECS J. Solid State Sci. Technol. 5, P88 (2015).CrossRefGoogle Scholar
Choe, J.: Comparison of 20 nm & 10 nm-class 2D planar NAND and 3D V-NAND architecture. In Flash Memory Summit (Techinsights, Santa Clara, 2015).Google Scholar
Vegunta, S.S.: Next Gen CMP challenges in 3D memory architectures. In Semicon West 2018, NCCAVS Users Group (San Francisco, 2018).Google Scholar
Seo, J., Gowda, A., and Babu, S.: Almost complete removal of ceria particles down to 10 nm size from silicon dioxide surfaces.ECS J. Solid State Sci. Technol. 7, P243 (2018).CrossRefGoogle Scholar
Gowda, A., Seo, J., Ranaweera, C.K. and Babu, S.: Cleaning solutions for removal of ~30 nm ceria particles from proline and citric acid containing slurries deposited on silicon dioxide and silicon nitride surfaces.ECS J. Solid State Sci. Technol. 9, 044013 (2020).CrossRefGoogle Scholar
Hong, J., Niu, X., Liu, Y., He, Y., Zhang, B., Wang, J., Han, L., Yan, C., and Zhang, J.: Effect of a novel chelating agent on defect removal during post-CMP cleaning. Appl. Surf. Sci. 378, 239 (2016).CrossRefGoogle Scholar
Alety, S.R., Lagudu, U.R., Popuri, R., Patlolla, R., Surisetty, C.V., and Babu, S.: Cleaning solutions for ultrathin Co barriers for advanced technology nodes. ECS J. Solid State Sci. Technol. 6, P671 (2017).CrossRefGoogle Scholar
Babu, S.V.: CMP: An overview, the good and not so good. In ICPT 2019 (International Conference on Planarization/CMP Technology, Hsinchu, 2019).Google Scholar
Ong, P. and Teugels, L.: CMP processing of high mobility channel materials: Alternatives to Si .In Advances in Chemical Mechanical Planarization (CMP), Babu, S.V., ed. (Woodhead Publishing, Cambridge, 2016), pp. 119.CrossRefGoogle Scholar
Lin, C.-C., Yang, C.-C., Ger, J., Deng, J.-F., and Hung, D.-Z.: Tetramethylammonium hydroxide poisoning. Clin. Toxicol. 48, 213 (2010).CrossRefGoogle ScholarPubMed
Roy, D.: Perspective—Electrochemical assessment of slurry formulations for chemical mechanical planarization of metals: Trends, benefits and challenges. ECS J. Solid State Sci. Technol. 7, P209 (2018).CrossRefGoogle Scholar
Figure 0

Figure 1: Schematic diagram of a typical CMP tool and three-body interactions occurring in the wafer/abrasive/pad contact region.

Figure 1

TABLE 1. Typical CMP slurry components.

Figure 2

Figure 2: (a) Dual emission UV-enhanced fluorescence techniques with a dual-camera imaging system to in situ measure the thickness of the slurry film during polishing. Adapted from Ref. [25], (b) COF and slurry film thickness plotted as a function of the Hershey number (ηV/P).

Figure 3

Figure 3: (a) Schematic illustration of SiO2 removal mechanisms and (b) Si3N4 hydrolysis reaction mechanism. Adapted from Ref. [41]. (c) Schematic diagram of the material removal mechanism.

Figure 4

Figure 4: Galvanic corrosion of metal-barrier couple due to their potential difference. The preferential dissolution/corrosion of interconnection material and barrier material leads to the dishing and the fang, respectively.

Figure 5

Figure 5: (a) Schematic illustration depicting PAA interactions at the ceria/Si3N4–slurry interface as a function of pH and corresponding potential-distance diagrams. Adapted from Ref. [80]. (b) Bond formation between proline and silicon nitride surface. Adapted from Ref. [53]. (c) Cu-BTA complex chemisorbed on the Cu surface.

Figure 6

Figure 6: An overview of the adsorption of organic compounds such as dispersants, passivation agents, and inhibitors on the abrasive particles and the wafer surfaces.

Figure 7

Figure 7: Schematic representation of the complex interactions between chemical and mechanical effects in the actual CMP system.

Figure 8

TABLE 2. Summary of in situ techniques studies in CMP systems.

Figure 9

Figure 8: (a) Rheo-polishing setup with plate fixture and 40 mm upper plate, and schematic of the cross-sectional view of the rheometer plate and tetraethyl orthosilicate (TEOS) wafer covered with CMP slurry. Adapted from Ref. [34]. (b) CMP-ATR accessory with mSRE placed in the sample compartment of a FTIR spectrometer. Adapted from Ref. [129]. (c) Direct observation of particle single using total internal reflection fluorescence. Adapted from Ref. [130]. (d) A three-electrode setup used for electrochemical studies of metal films during polishing. Adapted from Ref. [60].

Figure 10

Figure 9: Schematic diagram of some research strategies for developing next-generation CMP slurries.