Hostname: page-component-76fb5796d-2lccl Total loading time: 0 Render date: 2024-04-25T20:44:36.830Z Has data issue: false hasContentIssue false

Etch Processing of III-V Nitrides

Published online by Cambridge University Press:  13 June 2014

Abstract

As III-V nitride devices advance in technological importance, a fundamental understanding of device processing techniques becomes essential. Recent works have exposed various aspects of etch processes. The most recent advances and the greatest remaining challenges in the etching of GaN, AlN, and InN are reviewed. A more detailed presentation is given with respect to GaN high density plasma etching. In particular, the results of parametric and fundamental studies of GaN etching in a high density plasma are described. The effect of ion energy and mass on surface electronic properties is reported. Experimental results identify preferential sputtering as the leading cause of observed surface non-stoichiometry. This mechanism provides excellent surfaces for ohmic contacts to n-type GaN, but presents a major obstacle for Schottky contacts or ohmic contacts to p-type GaN. Chlorine-based discharges minimize this stoichiometry problem by improving the rate of gallium removal from the surface. In an effort to better understand the high density plasma etching process for GaN, in-situ mass spectrometry is employed to study the chlorine-based high density plasma etching process. Gallium chloride mass peaks were monitored in a highly surface sensitive geometry as a function of microwave power (ion flux), total pressure (neutral flux), and ion energy. Microwave power and pressure dependencies clearly demonstrate the importance of reactive ions in the etching of wide band gap materials. The ion energy dependence demonstrates the importance of adequate ion energy to promote a reasonable etch rate (≥100-150 eV). The benefits of ion-assisted chemical etching are diminished for ion energies in excess of 350 V, placing an upper limit to the useful ion energy range for etching GaN. The impact of these results on device processing will be discussed and future needs identified.

Type
Research Article
Copyright
Copyright © 1999 Materials Research Society

Introduction

The family of nitride semiconductors has undergone amazing growth and development in the last decade and is now poised to play a pivotal role in a wide range of advanced semiconductor devices. This family, comprised of the binary semiconductors InN, GaN, and AlN and their ternaries, is now being applied in light emitting diodes that emit from UV to the amber regions of the visible electromagnetic spectrum and to blue lasers. Aside from these landmark optoelectronic device realizations, nitride semiconductors are also undergoing extensive research and development efforts for application in high frequency, high temperature, and high power microelectronic devices. In practically all of these applications, the ability to pattern the nitride semiconductor is required.

As a result of many of the properties that make nitride semiconductors attractive in the aforementioned electronic and optoelectronic device applications, they have proven notoriously difficult to etch. This situation has placed energetic pattern transfer processes in the lead for nitride device development. It has also placed some restriction on acceptable masking materials for lithography – with more robust silicon dioxide and nitride often being chosen over photoresist. These requirements for etch processing of the nitrides clearly differentiates them from silicon, gallium arsenide and indium phosphide, the only semiconductors with reasonably well understood etch processes. As a result, considerable research and development will be required to realize production-quality etch processes for the nitrides.

In this work, the progress in developing etch processes for the nitrides is reviewed and the remaining etch process issues are identified. The review starts with a brief overview of wet etching techniques and then moves on to cover the more prominent ion-assisted etching techniques. The first ion assisted technique addressed is the conventional reactive ion etching processes. The review then moves on to discuss the bulk of nitride etching efforts where high density plasmas have been employed. Also in this section, detailed results of experiments investigating the damage resulting from and the fundamentals of high density plasma etching will be discussed. The highlights of these efforts are then summarized and the most important remaining issues identified.

Wet Etching Methods

As a result of their high bond energies, group III nitrides typically are not etched by wet etching methods. The efforts to date are well reviewed in a recent chapter by Pearton and Shul[Reference Pearton, Shul, Pankove and Moustakas1]. Wet etchants are generally only successful on poor quality nitride films deposited by sputtering or reactive evaporation. For these poor quality films both acid and base solutions are moderately successful at elevated temperatures. If such films are first annealed to improve their quality, etch rates drop by up to an order of magnitude. For single crystal nitrides it is very difficult to find reliable, effective wet etchants. For single crystal GaN and InN there are no wet etchants that are effective under 80°C. For AlN, however, photoresist developer and strong KOH solutions are effective at lower temperatures.

Since standard wet etches are largely ineffective, there have been a number of efforts examining assisted wet etching techniques. The most successful has been photoelectrochemical etching of n-GaN grown on SiC. A description of the apparatus is given elsewhere [Reference Youtsey, Adesida and Bulman2], but is basically electrochemical etching in KOH under broad area illumination from a Hg arc lamp. The above bandgap light creates electron-hole pairs that in n-GaN provides a hole flux to the surface where the holes assist in the chemical attack. The technique is not effective for p-GaN as holes are unable to reach the surface due to the specific band bending in the material [Reference Youtsey, Adesida and Bulman2]. Highly anisotropic, high rate (>3000Å/min) etching is achieved at room temperature in 0.04 M KOH with 50mW/cm2 light intensity (measured at 365 nm). The process becomes diffusion limited in these solutions for light intensities greater than 20mW/cm2 [Reference Youtsey, Adesida and Bulman3]. Very smooth surfaces are achievable (1.5nm roughness vs. 0.3 nm as-grown) with 0.02 M KOH solutions at 40mW/cm2 light intensities at 365nm. The smoothness is a very sensitive function of the molarity of the solution [Reference Youtsey, Adesida, Romano and Bulman4]. These same solutions have recently been used as a threading and mixed dislocation identification tool for counting low defect density GaN (such as lateral epitaxially overgrown films) with the same high degree of sensitivity on the molarity of the solution [Reference Youtsey, Romano, Adesida and Bulman5].

Dry Etching Methods

Reactive Ion Etching

Conventional reactive ion etching (RIE) using parallel plate electrodes and capacitively coupled 13.56 MHz plasma generation power has long been the primary technique for pattern transfer in silicon and gallium arsenide device technologies. In these reactors the plasma density and energy of impinging ions on the substrate are tightly coupled with higher applied rf powers resulting in higher densities and energies. Low damage etch processing using RIE is possible in the Si and GaAs systems with low applied rf power levels. Despite the associated drop in density, etch rates remained reasonable due to the low binding energy of these semiconductors. In the nitrides, with their much higher binding energies, this is not the situation as a quick review of research efforts will show.

The results of a representative set of studies on RIE of the III-V nitrides are summarized in Table I. The bulk of RIE efforts have centered on the application of halogen chemistries (predominantly chlorine) and have involved high coupled rf powers[Reference Lee, Oberman and Harris6-Reference Ping, Adesida, Kuznia, Asif Khan and Adesida10]. This is consistent with higher plasma densities and high dc bias levels or ion energies. In all cases nitride etch rates are seen to increase monotonically with increasing rf power and decrease with increasing pressure – signifying the importance of the ion driven or physical component to the etch process. Demonstrated etch rates have maximum values of ∼1000Å, with the notable exception of GaN in Cl2 chemistries. Most of these works have been performed with resist masks that were relatively thick in order to withstand the high ion energies used in processing. Under such conditions etch selectivities between the mask material and the nitride are low. These conditions are also likely to result in considerable surface damage to the nitride. Halogen-based chemistries give higher etch rates than methyl based chemistries, except for InN, which is consistent with arsenide semiconductor processing observations. A final note, is that processing with Si-containing precursors can render the nitride surface Si-doped. This generates the need for post-etch processing to recover the original nitride surface properties.

Table .I Summary of select RIE efforts in the III-V nitrides.

Plasma Chemistry Bias or Power Density Etch Rates [Å/min] Masking Material Comments Ref
CHF3 100-500W 50-200(GaN) Shipley1813 6k-9k Å resist, 100 mTorr 6
C2ClF5 6
BCl3 0.3-0.9 W/cm2 150-730(AlGaN) Ni AlGaN/Ni selectivity = 4/1 7
SiCl4/SiF4 −400 V 500 (GaN) Smooth, anisotropic 8
Cl2/Ar 450 W 2800 (GaN) Resist 9
200 (AlN)
200 (InN)
CH4/H2/Ar 450W 400 (GaN) Resist 9
350 (AlN)
300 (InN)
HBr/H2/Ar -400V 400-600 (GaN) AZ5214,Ni/SiO2 10

Based upon these efforts, the application of RIE to pattern transfer processing in the III-V nitrides faces considerable difficulties. Primarily, the rates are very low prohibiting application to the etching of light emitting diode and laser diode structures due to the required depth of the etch. Furthermore, the high ion energies required to achieve even these modest rates have serious negative consequences for surface damage and for selectivities between mask and substrate and heterojunction layers. These consequences place substantial challenges to the application of RIE in nitride device processing.

High Density Plasma Etching

General Overview of Efforts

High density plasma reactors have a significant advantage over the reactive ion etching reactors of the previous section – the ion density or plasma density can be de-coupled from the bias applied to the substrate. This permits the high ion flux densities that data from RIE experiments have shown enhances nitride etch rates, but with low, adjustable ion energies (>20 eV). This characteristic enables higher selectivity in the etch process and lower damage levels to the semiconductor surface while maintaining reasonable etch rates.

The family of high density plasma reactors essentially consists of electron cyclotron resonance (ECR) microwave plasma sources and inductively coupled plasma (ICP) sources. However, it is appropriate to include magnetically enhance reactive ion etching (MERIE) systems because of the similarities in the discharges in terms of plasma density.

The efforts to etch III-V nitrides using high density plasma sources are summarized in Table II. As can be seen, the etch rates achieved with these methods is nearly an order of magnitude higher than in conventional RIE methods. The bulk of these efforts have involved halogen based chemistries including chlorine- [Reference McLane, Casas, Pearton and Abernathy11-Reference Pearton, Abernathy and Ren17], iodine- [Reference Pearton, Abernathy and Vartuli18], and bromine-based [Reference Cho, Vartuli, Donovan, Abernathy, Pearton, Shul and Constantine14,Reference Pearton, Abernathy and Ren17] chemistries. There have also been efforts in mixed halogen chemistries such as ICl [Reference Vartuli, Pearton, Mackenzie, Abernathy and Shul19] and CCl2F2 [Reference Pearton, Abernathy and Ren17]. Based upon successful application to the etching of other III-V compound semiconductors, methyl-based chemistries have also been investigated [Reference Cho, Vartuli, Donovan, Abernathy, Pearton, Shul and Constantine14,Reference Pearton, Abernathy and Ren17]. The fastest etch rates are generally achieved at high microwave powers in halogen based chemistries. The ICl chemistry has produced the fastest reported etch rates for all three nitrides: 1.3µm/min for GaN, 1.15µm/min for InN, and 7000Å/min for AlN (notably, at a bias of −275 V).

Because of the ion driven nature of the etch process for the nitrides achievement of vertical sidewalls in etched features is mostly dependent on the robustness of the mask material. When the mask is sufficiently robust to the etch process, perfectly vertical sidewalls are achieved. When the mask is eroded by the etch process the features are overcut and critical dimensions are compromised. A remaining challenge is the introduction of a controlled degree of isotropy to the process. This is important in the fabrication of field effect and bipolar junction devices which require conformal, uniform metal gate deposition over etch steps to avoid a reduction in the breakdown voltage of the device.

High selectivity between the nitrides is important in the processing of heterojunction structures used in lasers, field effect, and bipolar junction transistor devices. The maximum selectivities reported to date are as follows: GaN/InN ∼11 in an ECR Cl2/Ar plasma at low bias, GaN/AlN ∼6 in an ECR ICl/Ar plasma at high bias, and InN/GaN ∼6.5 in an ECR CH4/H2/Ar plasma at low bias[Reference Vartuli, Pearton, Mackenzie, Abernathy and Shul19]. These levels are generally 5-10 times smaller than desired for heterojunction device processing. Thus, improved etch selectivities are another area in need of substantial research and development efforts.

Table II. Summary of select High Density Plasma Etching Efforts in the III-V Nitrides.

Chemistry Technique Etch Rate (Å/min) Source Power (Watts) Bias (Volts) Ref
BCl3 MERIE 3500(GaN) 0.5W/cm2 −75 11
SiCl4 ECR 960(GaN) 300W −280 12
Cl2 ICP 4000(GaN) 500W −250 13
2000(AlN)
2200(InN)
Cl2 ICP 1200(GaN) 1500W −100 14
200(AlN) 1500W
4800(InN) 1000W
BCl3 ICP 3000(GaN) 500W −250 13
2200(AlN)
2600(InN)
Cl2/BCl3 ICP 8500(GaN) 600W −120 15
Cl2/CH4/H2 ECR 2340(GaN) 850W (170°C) −180 16
960(A1N)
2300(InN)
HI/H2 ECR 1100(GaN) 1000W −150 18
1250(A1N)
1000(InN)
HBr/H2 ECR 900(GaN) 1000W −150 18
700(A1N)
200(InN)
ICl ECR 13000(GaN) 1000W −275 19
7000(A1N)
11500(InN)
CCl2F2 ECR 300(GaN) 200W −250 17
90(A1N)
90(InN)
CH4/H2 ECR 75(GaN) 200W −300 17
25(A1N)
100(InN)
CH4/H2 ICP 1250(GaN) 500W −225 14
200(A1N)
1250(InN)

Damage Studies

In addition to the above considerations, one of the most critical figures of merit for etch processing of devices is the damage imparted to the remaining semiconductor. To date, most reports on etch damage in the III-V nitrides have centered on topographic or stoichiometric changes imparted to the surface [Reference Shul, Willison, Bridges, Han, Lee, Pearton, Abernathy, MacKenzie, Donovan, Zhang and Lester13,Reference Pearton, Abernathy, Ren, McLane and Lothian20-Reference Shul, Howard, Kilcoyne, Pearton, Abernathy, Vartuli, Barnes and Bozack23]. In these representative reports, smooth etched surfaces are identified as generally achievable, with the exception of “etch pit” formation on the surfaces of nitride films grown on basal plane sapphire [Reference Shul, Willison, Bridges, Han, Lee, Pearton, Abernathy, MacKenzie, Donovan, Zhang and Lester13]. Smooth sidewall surfaces are also reported to be contingent upon a robust mask – erosion of the mask edges leads to striations in the sidewall in these high fidelity processes [Reference Shul, Willison, Bridges, Han, Lee, Pearton, Abernathy, MacKenzie, Donovan, Zhang and Lester13].

Although such damage reports are important to the development of low damage processes for the nitrides, it is the effect of etch processing on the electronic properties of nitride surfaces that is essential to the creation of high quality devices. Despite this importance there have been far fewer reports on electronic damage [Reference Eddy, Molnar, Ponce, Dupuis, Nakamura and Edmond24-Reference Eddy and Molnar29]. The general conclusions from these efforts are that high density plasma etching renders the n-type GaN surface less conductive (due primarily to mobility reduction) and semi-insulating GaN surfaces n-type conducting and that the degree of conduction enhancement or degradation is a strong function of incident ion energy and mass. This result is often beneficial to ohmic contacts to n-type GaN, but presents a challenge for reproducible ohmic contacts to p-type GaN or Schottky contacts to either extrinsic type. This result is consistent in InN-based semiconductors where ion energies > 300 eV resulted in a reduction in carrier concentrations – presumably the result of deep trap introduction [Reference Pearton, Lee, MacKenzie, Abernathy and Shul27]. As with other III-V compound semiconductors hydrogen has been shown to passivate shallow dopants in the nitrides [Reference Brandt, Johnson, Molnar, Singh and Moustakas30,Reference Nakamura, Mukai, Senoh and Iwasa31].

We have performed detailed experiments on ECR plasma etching damage in n-type GaN (Si-doped to mid 1018 cm−3) and highly resistive GaN films grown by MOCVD on sapphire [Reference Eddy and Molnar29]. The GaN wafers used in this study were grown by metal organic chemical vapor deposition (MOCVD) on c-plane (0001) oriented sapphire substrates. The surface of the sample was smooth (∼ 5 nm rms roughness by atomic force microscopy) and the thickness of the layers was 2-3 µm. The wafers were cut into ∼ 0.4 cm2 samples and the individual samples were evaluated using Hall measurements before and after exposure to the etching environment. Electron beam evaporated W/10%Ti alloy thin films deposited on the corners of the samples served as both ohmic contacts and as etch masks. Semi-insulating GaN film resistances were determined by I-V measurements using removable liquid Ga/In eutectic contacts. Etch depths were measured with a Tencor AlphaStep 250 stylus profilometer.

All plasma etching was performed in an electron cyclotron resonance microwave plasma reactive ion etching system described previously [Reference Eddy, Dobisz, Meyer and Hoffman32]. In these experiments, the total pressure was held at 1mTorr while total flows of 12.8 sccm, 9.6 sccm, 6.8 sccm and 9.0 sccm were used for CH4/H2/Ar (2.8/6.8/3.2 flow fractions), CH4/H2 (2.8/6.8), H2 and Cl2 chemistries, respectively. Microwave powers of 300-400W and 200W were used for hydrogen-containing and chorine-based chemistries, respectively. The capacitively coupled, rf-induced dc bias level of the substrate platen ranged from −150 V to −400 V (corresponding to rf power densities from 0.8 W/cm2 to 3.4 W/cm2) for all of the studies performed here. The substrates were placed 32 cm downstream of the position of the electron cyclotron resonance condition, defined by the onaxis location of the 875 G magnetic field intensity contour.

Rapid thermal annealing experiments were performed to evaluate the response of electrical parameters to annealing treatments. In these experiments, the wafer is placed face down on a Si wafer with the GaN surface in contact with the smooth Si surface. Annealing was performed in flowing N2 gas. The sample was first annealed at 400°C for 30 sec and then a room temperature Hall measurement was performed. The annealing was continued at higher temperatures in 100°C increments, each of 30 sec duration. After each anneal the electrical parameters were determined.

In these efforts, we’ve found that ‘etching’ in inert gas plasmas renders the surface of n-type films more resistive and that the degree of resistivity is dependent on ion energy and mass – heavier ions (Ar) at higher energies (400 eV) resulting in the most resistive films. The resistivity increase is largely attributed to a drastic decrease in the mobility. Similar observations are made on GaN films etched in CH4/H2/Ar ECR plasmas. Films etched at 300 eV in hydrogen shown only modest increases in resistivity, and decreases in mobility. Films etched in Cl2 ECR plasmas etched at the fastest rates (>2000Å/min) and showed no change in the surface electrical properties. Supporting results of surface stoichiometry (Auger Electron Spectroscopy) and topography (Atomic Force Microscopy and Scanning Electron Microscopy) show that heavily damaged surfaces are well decorated with ‘etch pits’ (Figure 1) and are gallium rich (with preetch Ga:N ratios of 2 and post-etch ratios of 3.2). This damage could be annealed away with rapid thermal anneals of 120 sec duration at temperatures as low as 450°C. These observations support a combination of preferential sputtering and compensating defects. Preferential sputtering increases the depth of disorder to ∼ 2000Å. The addition of a strong chemical component, as in Cl2 etching, reduces the disorder by removing it at a rate comparable to its introduction leaving the surface effectively undamaged. Similar dependencies on etch gas, ion mass, and ion energy are observed in highly resistive films (undoped, Ro > 108 Ω) except that the surfaces were rendered more n-type conducting.

Figure 1. SEM micrograph of GaN surface morphology after 20 min. exposure to 150 eV Ar ions.

Fundamentals of Nitride Etching Studies

We’ve also performed preliminary studies investigating the fundamentals of etching of GaN in an effort to understand the etching process in terms of process conditions most suited to ionassisted etching at minimal damage levels. These studies have involved the use of mass spectrometric sampling through the substrate platen with and without the substrate present. Studies without the substrate were performed to evaluate the make-up of the incident plasma flux as a function of process conditions (pressure and microwave power) [Reference Eddy, Leonhardt, Douglass, Thoms and Butler33]. Studies with unpatterned GaN films (grown by MOCVD on sapphire) were performed to evaluate the rate of etch product formation as a function of variations in the plasma flux and the incident ion energy. The sampling technique has been shown to be extremely sensitive to the surface chemistry and, therefore, provides an unambiguous sampling of surface chemistry through monitoring of etch products that evolve from the surface [Reference Eddy, Glembocki, Leonhardt, Shamamian, Holm, Thoms, Butler and Pang34,Reference Leonhardt, Eddy, Shamamian, Holm, Glembocki and Butler35].

The GaCl2 etch products at 139(69Ga35Cl2),141 (69Ga35Cl37Cl and 71Ga35Cl2), 143 (71Ga35Cl37Cl, 69Ga37Cl), and 145 (71Ga37Cl2) are used to monitor the etch product formation rate as a function of changes in the plasma/surface interaction region. As microwave power is increased from 300-600 W, Figure 2, we see a monotonic increase in the mass peak intensities of the etch products with a particular jump between 400 and 450 W. Flux characterization measurements show that for > 300 W microwave power the flux is nearly fully dissociated, consistent with other reports. For > 400 W microwave power, the flux is dominated by Cl+ and Ar+. Figure 3 shows the dependence of etch product formation on the process pressure. We see a dramatic decrease in the mass peak intensities of the etch products as pressure is increased from 0.25 to 2 mTorr. Over this same pressure range, flux characterizations show that the ion fraction of the flux drops rapidly to background levels at 2 mTorr as the pressure is increased and that neutral species rise monotonically over this pressure range with Cl2 and Ar domination for pressures > 1mTorr. Taken together, these observations with variations in process conditions indicate a clear need for a high ion and atomic chlorine fluxes at the substrate to promote reasonable etch rates, this requires process conditions of high microwave powers and low process pressures. These requirements should be met with care, however, as they will reduce selectivity. The pressure requirement is well aligned with the highly anisotropic nature of etch processing at these pressures due to increased directionality of incident ions.Both requirements further support high density plasma tools as the tool of choice for nitride etch processing.

Figure 2. Variation in etch product mass intensities with coupled microwave power.

Figure 3. Variation in etch product mass intensities with process pressure.

The critical parametric dependence for understanding the driving force behind surface chemistry in these etch processes is the dependence of etch product formation rate on incident ion energy. Figure 4 shows the dependence of the GaCl2 mass peak intensities on incident ion energy, as determined by the level of the capacitively coupled rf bias power. As can be seen in the figure, there is effectively no etching of GaN for ion energies up to 75-100 eV. Above that level, the etch product formation rate begins to slowly increase up to energies of 200 eV, indicative of ion-assisted product formation. This ion-assist is substantially enhanced for ion energies in the 200-350 eV range as the product mass peak intensities increase rapidly over this range. For ion energies greater than 350 eV there is a considerable decrease in the product mass peak intensities, almost to background levels by 450 eV. Over this same range of energies, we have seen and others have shown that the GaN etch rate continues to increase. Combining these observations, we see that at 350 eV the etch process transitions from the desired ion-assisted chemistry to physical sputtering. The best results are therefore expected when the ion energy is in the range from 150-350 eV. Excellent anisotropy can be expected over this entire range, while the higher end of this range will give faster etch rates with poor selectivity and high damage levels and the lower end of the range will give better selectivities and lower damage levels.

Figure 4. Variation in etch product mass intensities with substrate bias.

Summary & Future Directions

Various plasma-based etching techniques for III-V nitrides have been reviewed. Conventional reactive ion etching techniques using a capacitively-coupled parallel plate geometry are capable of etching the nitrides, but etch rates are very low even at relatively high ion energies (400 eV). This situation has serious negative implications on selectivity and damage, but demonstrates the importance of ions to the etching process in the III-V nitrides. As a whole, high density plasma etching has many advantages for etch processing of the nitrides. Etch rates approaching 1µm/min are being realized in chlorine-based chemistries. Perfect anisotropy is possible due to the strong ion dependence of the etching mechanism. The limit on anisotropy is the robustness of the mask material, if the mask erodes the profiles will be overcut. A remaining challenge is the introduction of some degree of controlled isotropy to the process for device technologies requiring rounded trench bottoms. Etch selectivity between the nitrides is quickly becoming a daunting issue, also a result of the strong ion dependence of the etching mechanism. Maximum selectivities are 6-10 and higher selectivities are desired for ease of processing heterojunction devices, particularly the microelectronic versions. Etch damage to GaN surfaces is strongly influenced by ion energy and mass. This is partially attributed to preferential sputtering of nitrogen. The addition of a chemical component to the etch greatly reduces the effect by rapidly removing the residual gallium from the surface. Fundamental studies in high density plasma etching have shown that GaCl product formation, presumably the limiter - although the true limitation is likely the breaking of the GaN bond to permit formation of GaCl - is most efficient for Cl, Cl+ dominated plasma fluxes to the substrate. This is consistent with high plasma powers and low pressures. These studies have also shown that the most effective ion-assisted etching is for incident ion energies in the 150-350 eV range.

Acknowledgments

The author wishes to acknowledge the efforts of Drs. Bela Molnar, Darrin Leonhardt, Vasgen Shamamian, and James Butler for their substantial efforts in the damage and fundamental experiments reported here. I also wish to acknowledge the support of the Office of Naval Research.

Footnotes

MRS Internet J. Nitride Semicond. Res. 4S1, G10.5(1999)

References

Pearton, S.J and Shul, R.J. in Gallium Nitride I, Pankove, J. and Moustakas, T.D. Eds., Semiconductor and Semimetals Series, Vol. 50, P. 103, Academic Press, New York, NY (1998).CrossRefGoogle Scholar
Youtsey, C., Adesida, I. and Bulman, G., Electronics Lett. 33, 245 (1997).Google Scholar
Youtsey, C., Adesida, I. and Bulman, G., Appl. Phys. Lett. 71, 2151 (1997).Google Scholar
Youtsey, C., Adesida, I., Romano, L.T. and Bulman, G., Appl. Phys. Lett. 72, 560 (1998).Google Scholar
Youtsey, C., Romano, L.T., Adesida, I., and Bulman, G., Appl. Phys. Lett. 73, 797 (1998).Google Scholar
Lee, H., Oberman, D.B. and Harris, J.S., Jr., Appl. Phys. Lett. 67, 1754 (1995).Google Scholar
Hughes, W.C., Roland, , Jr., , M.A.L. and Molnar, C.R. in Gallium Nitride & Related Materials, Ponce, F.A., Dupuis, R.D., Nakamura, S. And Edmond, J.A. Eds., MRS Proc. Vol. 395, p. 745, Materials Research Society, Pittsburgh, PA (1996).Google Scholar
Adesida, I., Mahajan, A., Andideh, E., Asif Khan, M., Olsen, D.T. and Kuznia, J.N., Appl. Phys. Lett. 63, 2777 (1993).CrossRefGoogle Scholar
Vartuli, C.B., MacKenzie, J.D., Lee, J.W., Abernathy, C.R., Pearton, S.J. and Shul, R.J., J. Appl. Phys. 80, 3705 (1996).CrossRefGoogle Scholar
Ping, A.T., Adesida, I., Kuznia, M., Asif Khan, L.T. and Adesida, I., Appl. Phys. Lett. 73, 797 (1998).Google Scholar
McLane, G.F., Casas, L., Pearton, S.J. and Abernathy, C.R., Appl. Phys. Lett. 66, 3328 (1995).Google Scholar
Zhang, L., Ramer, J., Brown, J., Zheng, K., Lester, L.F. and Hersee, S.D., Appl. Phys. Lett. 68, 367 (1996).Google Scholar
Shul, R.J., Willison, C.G., Bridges, M.M., Han, J., Lee, J.W., Pearton, S.J., Abernathy, C.R., MacKenzie, J.D., Donovan, S.M., Zhang, L., and Lester, L.F., J. Vac. Sci. Technol. A 16, 1621 (1998).Google Scholar
Cho, H., Vartuli, C.B., Donovan, S.M., Abernathy, C.R., Pearton, S.J., Shul, R.J., Constantine, C., J. Vac. Sci. Technol. A 16, 1631 (1998).Google Scholar
Lee, Y.H., Kim, H.S., Yeom, G.Y., Lee, J.W., Yoo, M.C. and Kim, T.I., J. Vac. Sci. Technol. A 16, 1478 (1998).Google Scholar
Shul, R.J., Kilicoyne, S.P., Hagerott Crawford, M., Parmeter, J.E., Vartuli, C.B., Abernathy, C.R. and Pearton, S.J., Appl. Phys. Lett. 66, 1761 (1995).Google Scholar
Pearton, S.J., Abernathy, C.R. and Ren, F., J. Vac. Sci. Technol. A. 11, 1772 (1993).Google Scholar
Pearton, S.J., Abernathy, C.R. and Vartuli, C.B., Electronics Lett. 30, 1985 (1994).Google Scholar
Vartuli, C.B., Pearton, S.J., Mackenzie, J.D., Abernathy, C.R. and Shul, R.J., J. Electrochem. Soc. 143, L246 (1996).Google Scholar
Pearton, S.J., Abernathy, C.R., Ren, F. and McLane, J.R., Lothian, J.F., J. Appl. Phys. 76, 1210 (1994).Google Scholar
McLane, G.F., Pearton, S.J. and Abernathy, C.R., in Wide Bandgap Semiconductors And Devices, PV 95-21, p. 204, The Electrochemical Society Proceedings, Pennington, NJ (1995).Google Scholar
Shul, R.J., Howard, A.J., Pearton, S.J., Abernathy, C.R. and Vartuli, C.B., in Wide Bandgap Semiconductors And Devices, PV 95-21, p. 217, The Electrochemical Society Proceedings, Pennington, NJ (1995).Google Scholar
Shul, R.J., Howard, A.J., Kilcoyne, S.P., Pearton, S.J., Abernathy, C.R., Vartuli, C.B., Barnes, P.A. and Bozack, M.J., in Proceedings 22nd SOTAPOCS, PV 95-6, p. 209, The Electrochemical Society Proceedings, Pennington, NJ (1995).Google Scholar
Eddy, C.R Jr. and Molnar, B., in Gallium Nitride & Related Materials, Ponce, F.A., Dupuis, R.D., Nakamura, S. and Edmond, J.A. eds., MRS Proc. Vol. 395, p. 757, Materials Research Society, Pittsburgh, PA (1996).Google Scholar
Molnar, B., Eddy, C.R. Jr. and Doverspike, K., J. Appl. Phys. 78, 6132 (1995).Google Scholar
Ping, A.T., Schmitz, A.C., Adesida, I., Asif Khan, M., Chen, Q. and Yang, J.W., J. Electron. Mater. 26, 266 (1997).Google Scholar
Pearton, S.J., Lee, J.W., MacKenzie, J.D., Abernathy, C.R. and Shul, R.J., Appl. Phys. Lett. 67, 2329 (1995).Google Scholar
Ishikawa, H., Kobayashi, S., Koide, Y., Yamasaki, S. and Nagai, S. Umezaki, J, Koike, M., Murakami, M., J. Appl. Phys. 81, 1315 (1997).Google Scholar
Eddy, C.R Jr. and Molnar, B., to appear in J. Electron. Mater., March 1999 issue.Google Scholar
Brandt, M.S., Johnson, N.M., Molnar, R.J., Singh, R. and Moustakas, T.D., Appl. Phys. Lett. 64, 2264 (1994).Google Scholar
Nakamura, S., Mukai, T., Senoh, M. and Iwasa, N., Jpn. J. Appl. Phys. 31, L139 (1992).Google Scholar
Eddy, C.R. Jr., Dobisz, E.A., Meyer, J.R. and Hoffman, C.A., J. Vac. Sci. Technol. A 11, p. 1763 (1993)Google Scholar
Eddy, C.R. Jr., Leonhardt, D., Douglass, S.R., Thoms, B.D. and Butler, J.E., J. Vac. Sci. Technol. A 17, 38 (1999).Google Scholar
Eddy, , Jr., , O.J., Glembocki, C.R., Leonhardt, D., Shamamian, V.A., Holm, R.T., Thoms, B.D., Butler, J.E., and Pang, S.W., J. Electron. Mater. 26, 1320 (1997).Google Scholar
Leonhardt, D., Eddy, , Jr., , V.A., Shamamian, C.R., Holm, R.T., Glembocki, O.J. and Butler, J. E., J. Vac. Sci. Technol. A 16, 1547 (1998).Google Scholar
Figure 0

Table .I Summary of select RIE efforts in the III-V nitrides.

Figure 1

Table II. Summary of select High Density Plasma Etching Efforts in the III-V Nitrides.

Figure 2

Figure 1. SEM micrograph of GaN surface morphology after 20 min. exposure to 150 eV Ar ions.

Figure 3

Figure 2. Variation in etch product mass intensities with coupled microwave power.

Figure 4

Figure 3. Variation in etch product mass intensities with process pressure.

Figure 5

Figure 4. Variation in etch product mass intensities with substrate bias.