Hostname: page-component-7bb8b95d7b-fmk2r Total loading time: 0 Render date: 2024-09-22T16:35:24.006Z Has data issue: false hasContentIssue false

Poly-SiGe, a superb material for MEMS

Published online by Cambridge University Press:  01 February 2011

Ann Witvrouw
Affiliation:
IMEC, Kapeldreef 75, B3001 Leuven (Belgium)
Maria Gromova
Affiliation:
IMEC, Kapeldreef 75, B3001 Leuven (Belgium)
Anshu Mehta
Affiliation:
IMEC, Kapeldreef 75, B3001 Leuven (Belgium)
Sherif Sedky
Affiliation:
IMEC, Kapeldreef 75, B3001 Leuven (Belgium) Physics Department, The American University in Cairo, P.O. Box 2511 Cairo, Egypt
Piet De Moor
Affiliation:
IMEC, Kapeldreef 75, B3001 Leuven (Belgium)
Kris Baert
Affiliation:
IMEC, Kapeldreef 75, B3001 Leuven (Belgium)
Chris van Hoof
Affiliation:
IMEC, Kapeldreef 75, B3001 Leuven (Belgium)
Get access

Abstract

In this overview article several MEMS applications of poly-SiGe are discussed: thermal applications, the application as a capping layer for MEMS wafer-level packaging and the use as MEMS structural layer for processing MEMS devices on top of CMOS. For all these applications also different deposition processes have been developed: chemical vapor deposition at reduced pressure (RPCVD), at low pressure (LPCVD) and with plasma enhancement (PECVD). Special techniques to reduce the processing temperature to the absolute minimum are reviewed as well: the use of hydrogenated microcrystalline SiGe, of metal-induced crystallization and of laser annealing. The latter methods are important when one wants to process SiGe MEMS above advanced CMOS with low-permittivity dielectrics.

Type
Research Article
Copyright
Copyright © Materials Research Society 2004

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

REFERENCES

1. http://www.memscap.com/memsrus/crmumps.htmlGoogle Scholar
2. http://www.sandia.gov/mstc/technologies/micromachines/tech-info/technologies/trilevel.htmlGoogle Scholar
3. Funk, K. et al, “A surface micromachined silicon gyroscope using a thick polysilicon layer”, Proc. IEEE MEMS '99, pp. 5760, 1999.Google Scholar
4. http://www.analog.comGoogle Scholar
5. King, T. J. et al., “Recent progress in modularly integrated MEMS technologies”, IEDM proceedings'02, pp. 199202, 2002.Google Scholar
6. Franke, A.E. et al., “Post-CMOS integration of Poly-SiGe microstructures using Poly-SiGe sacrificial layers”, Solid State Sensor and Actuator Workshop, June 4–8, 2000, pp. 1821, 2000.Google Scholar
7. Franke, A. E. et al., “Polycrystalline silicon-germanium films for integrated Microsystems”, Journal of microelectromechanical systems, 12 (2), p. 160, 2003 Google Scholar
8. Sedky, S. et al., “Poly SiGe, a promising material for monolithic integration with the driving electronics”, Sensors and Actuators A, Vol.97–98, pp. 503511, 2002.Google Scholar
9. Sedky, S. et al., “Effect of In-Situ Boron Doping on Properties of Silicon Germanium Films Deposited by CVD at 400°C”, Journal of Materials Research, 16 (9), p. 2607–12, September, 2001.Google Scholar
10. Sedky, S. et al., “Polycrystalline Silicon germanium, a promising Material for MEMS Post-processing on Top of Standard CMOS Wafers”, Proc. of the 11th International conference on solid state Sensors and Actuators Transducers '01, pp. 988991, 2001.Google Scholar
11. Mehta, A. et al., “Novel high growth rate processes for depositing poly-SiGe structural layers at CMOS compatible temperatures”, to be published in Proc. MEMS 2004 Google Scholar
12. Abeles, B. et al., “Thermal Conductivity of GeSi alloys at High Temperature”, Physical review 125 (1), pp 44 – 46, 1962.Google Scholar
13. Van Gerwen, P. et al., “Thin-film boron-doped polycrystalline silicon70%-germanium30% for thermopiles”, Sensors and Actuators A 53, pp. 325329, 1996.Google Scholar
14. Baert, K. et al., “Thin film poly-Si70%Ge30% for thermopiles”, MST 1998, pp. 655657, 1998.Google Scholar
15. Sedky, S. et al., “IR bolometers made of polycrystalline silicon germanium”, Sensors and Actuators A 66, pp. 193299, 1998.Google Scholar
16. Sedky, S. et al., “Characterization and Optimization of infrared poly SiGe bolometers”, IEEE trans El. Dev. 46 (4), pp. 675682, 1999.Google Scholar
17. Franke, A.E. et al., “Post-CMOS Integration of Germanium Microstructures”, Proc. IEEE MEMS '99, pp. 630637, 1999.Google Scholar
18. Moffat, W.G., “The Handbook of Binary Phase Diagrams Volume Three”, Genium Publishing Corporation, Schenectady, New York, 1987.Google Scholar
19. Kasper, E., “Properties of strained and relaxed Silicon Germanium”, EMIS Datareviews series 12, 1995.Google Scholar
20. Steigmeier, E.F. and Abeles, B., ‘Scattering of Phonons by Electrons in Germanium-Silicon Alloys'’, Phys. Review 136 (4A), pp A1149–A1155, 1964.Google Scholar
21. Van der Donck, T. et al., “The effect of deposition parameters on the stress gradient of CVD and PECVD poly-SiGe for MEMS applications”, to be published in Micromachining and Microfabrication Process Technology IX, Proc. SPIE 2004.Google Scholar
22. King, T.-J. and Saraswat, K.C., “Deposition and Properties of Low-Pressure Chemical-Vapor Deposited Polycrystalline Silicon-Germanium Films”, J. Electrochem. Soc., Vol. 141, No. 8, 22352240, 1994.Google Scholar
23. Strasser, M. et al., “Micromachined CMOS thermoelectric generators as on-chip power supply”, Proc. of 12th International Conference on Solid State Sensors and Actuators Transducers '03, pp. 4548, 2003.Google Scholar
24. Schaevitz, S.B. et al., “A combustion-based MEMS thermoelectric power generator”, Proc. of 11th International Conference on Solid State Sensors and Actuators Transducers '01, pp. 3033, 2001.Google Scholar
25. De Moor, P. et al., “Linear arrays of fast uncooled poly Si-Ge microbolometers for IR detection”, Infrared Detectors and Focal Plane Arrays VI. SPIE 4028, pp. 2734, 2000.Google Scholar
26. Leonov, V. N. et al., “Micromachined poly-SiGe bolometer arrays for infrared imaging and spectroscopy”, Proc. SPIE 4945, pp. 5463, 2003.Google Scholar
27. Tilmans, H.A.C. et al, The Indent Reflow Sealing (IRS) Technique: a method for the fabrication of sealed cavities for MEMS devices, J. of Microelectromechanical Systems, Vol 9 (2), pp. 206217, 2000.Google Scholar
28. Stahl, H. et al., ‘Thin Film Encapsulation of Acceleration Sensors Using Polysilicon Sacrificial LayersProc. of 12th International Conference on Solid State Sensors and Actuators Transducers '03, 1899, 2003.Google Scholar
29. Park, W.T. et al., “Wafer-scale film encapsulation of micromachined accelerometers”, Proc. Transducers '03, pp. 19031906, 2003.Google Scholar
30. Rusu, C. et al., 'MEMS 0-level packaging using thin film poly-SiGe caps, Proc. IMAPS ATW on Packaging of MEMS and Related Micro Integrated Nano Systems, Denver, Colorado, Sept 6–8, 2002.Google Scholar
31. Rusu, C. et al., “New low-stress PECVD poly-SiGe layers for MEMS”, accepted for publication in J. Microelectromech. Systems, 2003.Google Scholar
32. Howe, R.T. and King, T.J., “Low-temperature LPCVD MEMS Technologies”, MRS Proc 729, pp. 205213, 2002.Google Scholar
33. Witvrouw, A. et al., ‘Why CMOS-integrated transducers? A review’, Microsystem Technologies, Vol. 6 (5), pp. 192199, 2000.Google Scholar
34. Sedky, S. et al., ‘Experimental Determination of the Maximum Post processing Temperature of MEMS on top of Standard CMOS Wafers’, IEEE Transactions on Electron Devices, 48 (2), pp. 377385, February, 2001.Google Scholar
35. Sedky, S. et al., “Characterization of reduced-pressure chemical vapor deposition polycrystalline silicon germanium deposited at temperatures <or= 550 degrees C”, JMR 17 (7), pp. 15801586, 2002.Google Scholar
36. Rath, J.K. et al., “Heterogeneous growth of microcrystalline silicon germanium”, Solar Energy Materials and Solar Cells, Vol. 74, pp. 553560, 2002.Google Scholar
37. Muramatsu, S. et al, “Thin-film c-Si solar cells prepared by metal-induced crystallization”, Solar Energy Materials and Solar Cells 74 (1–4), pp. 275281, 2002.Google Scholar
38. Sedky, S. et al., “Low tensile stress SiGe deposited at 370 °C for monolithically integrated MEMS applications”, submitted to MRS Spring Conf. 2004.Google Scholar
39. Sedky, S. et al., “Pulsed Laser Annealing of Silicon-Germanium Films”, MRS Proc. 741, J4.1.2–6, Fall 2002.Google Scholar