Hostname: page-component-77c89778f8-gvh9x Total loading time: 0 Render date: 2024-07-20T09:29:57.707Z Has data issue: false hasContentIssue false

Materials Challenges and Alternatives for Advanced Photolithographic Patterning: From 193 to 157 nm and Beyond

Published online by Cambridge University Press:  17 March 2011

Elsa Reichmanis
Affiliation:
Bell Laboratories, Lucent Technologies Murray Hill, New Jersey 07974
Omkaram Nalamasu
Affiliation:
Bell Laboratories, Lucent Technologies Murray Hill, New Jersey 07974
Francis M. Houlihan
Affiliation:
Bell Laboratories, Lucent Technologies Murray Hill, New Jersey 07974
Get access

Abstract

In the last decade, major advances in fabricating electronic devices have placed increasing demands on microlithography, the technology used to generate today's integrated circuits. Within the next few years, a new form of lithography will be required that routinely produces features of less than 0.1 μ. As the exposing wavelength of light decreases to facilitate higher resolution imaging, the opacity of traditional materials precludes their use; and major research efforts to develop alternate materials are underway. Through understanding of materials structure and its relationship to device process requirements and performance, cycloolefin based polymers provide for sub-0.1 μm imaging capability using 193 nm exposure. Alicyclic monomers such as norbornene are readily copolymerized with other units to afford a wide range of alternative matrices that exhibit transparency at the exposing wavelength and aqueous base solubility. Further reduction in imaging wavelength necessitates renewed research to define alternative materials platforms. Materials transparency is the key issue to be addressed for 157 nm or EUV lithography. Novel polymer architectures including fluorinated polymers will be required to effect sufficient transparency coupled with requisite solubility, sensitivity, contrast etching resistance, shelf life and purity. Each of these issues will be discussed from the perspective of polymer materials chemistry.

Type
Research Article
Copyright
Copyright © Materials Research Society 2001

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

References

1. Introduction to Microlithography, ed. Thompson, L. F., Willson, C. G., Bowden, M. J., ACS Professional Reference Book, (American Chemical Society, Washington, D. C. 1994).Google Scholar
2. a)Willson, C. G., Bowden, M. J., In Electronic and Photonic Applications of Polymers, ed. Bowden, M. J., Turner, S. R., ACS Advances in Chemistry Series 218, (American Chemical Society 1988), pp75108. b) T. Iwayanagi, T. Ueno, S. Nonogaki, H. Ito, C.G. Willson, merican Chemical Society, pp109-224. c) E. Reichmanis, F. M. Houlihan, O. Nalamasu, T. X. Neenan, Chem Mater, 3, 394 (1991).Google Scholar
3. Nalamasu, O., Wallow, T. I., Houlihan, F. M., Reichmanis, E., Timko, A. G., Dabbagh, G., Cirelli, R. A., Hutton, R. S., Novembre, A. E., Future Fab International, 1(2), 159 (1997).Google Scholar
4. a)DeJule, R., Semiconductor International, 21(2), 54 (1998); b) Future Fab International, 22(3), 48 (1999); c) J. A. McClay, S. L. McIntyre, Solid State Technology, 42(6), 57 (1999).Google Scholar
5. Dammel, R., Diazonaphthoquinone-based Resists, ed Shea, D., (SPIE Optical Engineering Press, Bellingham, Washington, 1993), p. 70.Google Scholar
6. Reichmanis, E., Nalamasu, O., Houlihan, F. M., Accts. Chem. Res., 23, 659 (1999).Google Scholar
7. a)Willson, C. G., Ito, H., Frechet, J. M. J., Digest of Technical Papers - 1982 Symposium on VLSI Technology, Kanagawa, Japan, p. 86 (September 1982). b) C. G. Willson, H. Ito, J. M. J. Frechet, T. G. Tessier, F. M. Houlihan, J. Electrochem. Soc., 133, 181 (1986).Google Scholar
8. a)Houlihan, F. M., Neenan, T. X., Reichmanis, E., Kometani, J. M., Chin, T., Chem. Mater., 3, 462 (1991). b) R. S. Kanga, J. M. Kometani, E. Reichmanis, J. E. Hanson, O. Nalamasu, L. F. Thompson, S. A. Heffner, W. W. Tai, P. Trevor, Chem. Mater., 3, 660 (1991). c) F. M. Houlihan, E. Reichmanis, L. F. Thompson, R. G. Tarascon, In Polymers in Microlithography, ACS Symposium Series 412, ed E. Reichmanis, S. A. MacDonald, T. Iwayanagi, (ACS Washington, D. C., 1989), pp 39-56.Google Scholar
9. a)Nalamasu, O., Houlihan, F. M., Cirelli, R. A., Timko, A. G., Watson, G. P., Hutton, R. S., Reichmanis, E., Gabor, A. H., Medina, A. N., Dimov, O., Neisser, M. O., Bowden, M. J., Future Fab International, number 8, 157162 (1999). b) O. Nalamasu, F. M. Houlihan, R. A. Cirelli, P. Watson, E. Reichmanis, Solid State Technology, 42(5), 29 (1999).Google Scholar
10. a)Braun, A. E., Semiconductor International, 23(2), 79 (2000); b) K. Ronse, G. Vandenberghe, P. Jaenen, D. Delvaux, D. Vangoidsenhoven, F. Van Roey, I. Pollers, M. Maenhoudt, A. M. Goethals, I. Pollentier, B. Vleeming, K. van Ingen Schenau, B. Heskamp, G. Davies, J. Finders, A. Niroomand, Proc. SPIE, 4000, 410 (2000).Google Scholar
11. a)Bowden, M. J., Chandross, E. A., J. Electrochem. Soc., 122, 1370 (1975). b) M. Feldman, D. L. White, E. A. Chandross, M. J. Bowden, Proceedings Kodak Microelectronics Seminar, Eastman Kodak, Rochester, NY, p 40 (1975).Google Scholar
12. a)Ohnishi, Y., Mizuko, M., Gokan, H., Fujiwara, S., J. Vac, Sci. Technol., 19(4), 1141 (1981). b) H. Gokan, S. Esho, Y. Ohnishi, J. Electrochem. Soc., 130(1), 143 (1983). c) R. R. Kunz, S. C. Palmateer, A. R. Forte, R. D. Allen, G. M. Wallraff, R. A. DiPietro, D. C. Hofer, Proc. SPIE, 1925, 167 (1993).Google Scholar
13. Allen, R. D., Wallraff, G. M., DiPietro, R. A., Hofer, D. C., Kunz, R. R., Proc. SPIE, 2438, 474 (1995).Google Scholar
14. Kunz, R. R., Allen, R. D., Hinsberg, W. D., Wallraff, G. M., Proc. SPIE, 1925, 167 (1993).Google Scholar
15. Shida, N., Ushiroguchi, T., Asakawa, K., Nakase, M., J. Photopolym. Sci. Technol., 9, 457 (1996).Google Scholar
16. a)Takahasi, M., Takechi, S., Proc. SPIE, 2438, 422 (1995). b) S. Takechi, M. Takahashi, K. Kotachi, K. Nozaki, E.Yano, I. Hanyu, J. Photopolym. Sci. Technol., 9, 475 (1996).Google Scholar
17. a)Reichmanis, E., Wilkins, C. W. Jr., Chandross, E. A., J. Vac. Sci. Technol., 19(4), 1338 (1981). b) C. W. Wilkins, Jr., E. Reichmanis, E. A. Chandross, J. Electrochem. Soc., 129(11), 2552 (1982).Google Scholar
18. a)O'Brien, M. J., Polym. Eng. Sci., 29, 846 (1989). b) J. V. Crivello, Chem. Mater., 6, 2167 (1994).Google Scholar
19. a)Houlihan, F. M., Wallow, T. I., Nalamasu, O., Reichmanis, E., Macromolecules, 30, 6517 (1997). b) T. I. Wallow, F. M. Houlihan, O. Nalamasu, E. A. Chandross, T. X. Neenan, E. Reichmanis, Proc. SPIE, 2724, 355 (1996).Google Scholar
20. a)Houlihan, F. M., Wallow, T. I., Timko, A. G., Neria, S. E., Hutton, R. S., Cirelli, R. A., Nalamasu, O., Reichmanis, E., Proc. SPIE, 3049, 84 (1997). b) E. Reichmanis, O. Nalamasu, F. M. Houlihan, T. I. Wallow, A. G. Timko, R. A. Cirelli, G. Dabbagh, R. S. Hutton, A. E. Novembre, B. W. Smith, J. Vac. Sci. Technol. B, 15(6), 2528 (1997). c) F. M. Houlihan, T. I. Wallow, A. G. Timko, S. E. Neria, R. S. Hutton, R. A. Cirelli, J. M. Kometani, O. Nalamasu, E. Reichmanis, J. Photopolym. Sci. Technol., 19(3), 511 (1997). d) F. M. Houlihan, J. M. Kometani, A. G. Timko, R. S. Hutton, R. A. Cirelli, E. Reichmanis, O. Nalamasu, A. H. Gabor, A. N. Medina, J. J. Biafore, S. G. Slater, Proc. SPIE, 3333, 73 (1999).Google Scholar
21. a)Patterson, K., Okoroanyanwu, U., Shimokawa, T., Cho, S., Byers, J., Willson, C. G., Proc. SPIE, 3333, 425 (1998); b) I. L.Rushkin, F. M. Houlihan, J. M. Kometani, R. S. Hutton, A. G. Timko, E. Reichmanis, O. Nalamasu, A. H. Gabor, A. N. Medina, S. G. Slater, M. Neisser, Proc. SPIE, 3678, 44 (1999); c) R. D. Allen, J. Optiz, H. Ito, T. I. Wallow, C. E. Casmier, R. Larson, R., Sooriyakumaran, D. C. Hofer, P. R. Varanasi, J. Photopolym. Sci and Technol., 12(3), 501 (1999); d) J.-C. Jung, C.-K. Bok, K.-H. Baik, Proc. SPIE, 3333, 11 (1998); e) J. M. Klopp, D. Pasini, J. M. J. Frechet, J. D. Byers, Proc. SPIE, 3999, 23 (2000); f) J.-H. Park, J.-Y. Kim, D.-C. Seo, S.-Y. Park, H. Lee, S.-J. Kim, J.-C. Jung, K.-H. Baik, Proc. SPIE, 3999, 1163 (2000).Google Scholar
22. a)Burggraaf, P., Solid State Technology, 43(1), 31 (2000); b) M. Rothschild, T. M. Bloomstein, T. H. Fedynyshyn, R. R. Kunz, V. Liberman, M. Switkes, J. Photopolym. Sci and Technol., 13(3), 369 (2000).Google Scholar
23. a)Kunz, R. R., Bloomstein, T. M., Hardy, D. E., Goodman, R. B., Downs, D. K., Curtin, J. E., Proc. SPIE, 3678, 13 (1999); b) T. H. Fedynyshyn, R. R. Kunz, S. P. Doran, R. B. Goodman, M. L. Lind, J. E. Curtin, Proc. SPIE, 3999, 335 (2000); c) R. R. Kunz, D. K. Downs, T. H. Fedynyshyn, R. Sinta, M. Sworin, Proceedings of the 157 International Symposium on 157 nm Lithography, Dana Point, CA, May 8-11, 2000, p. 635.Google Scholar
24. a)Patterson, K., Yamachika, M., Hung, R., Brodsky, C., Yamada, S., Somervell, M., Osborn, B., Hall, D., Dukovic, G., Byers, J., Conley, W., Willson, C. G., Proc. SPIE, 3999, 365 (2000); b) T. Chiba, R. J. Hung, S. Yamada, B. Trinque, M. Yamachika, C. Brodsky, K. Patterson, A. V. Heyden, A. Jamison, S.-H. Lin, M. Somervell, J. Byers, W. Conley, C. G. Willson, J. Photopolym. Sci. Technol., 13(3), 657 (2000).Google Scholar
25. a)Schmaljohann, D., Bae, Y. C., Dai, J., Weibel, G. L., Hamad, A. H., Ober, C. K., J. Photopolym. Sci. Technol., 13(3), 451 (2000); b) Y. C. Bae, D. Schalmjohann, A. H. Hamad, J. Dai, G. L. Weibel, T. Yu, C. K. Ober, The First International Symposium on 157 nm Lithography, Dana Point, CA, Proceedings Book 2, pg. 727, (2000).Google Scholar
26. Crawford, M. K., Feiring, A. E., Feldman, J., French, R. H., Periyasamy, M., Schadt, F. L. III, Smalley, R. J., Zumsteg, F. C., Kunz, R. R., Rao, V., Holl, S. M., Proc. SPIE, 3999, 357 (2000).Google Scholar
27. Matsuzawa, N. N., Mori, S., Yano, E., Okazaki, S., Ishitani, A., Dixon, D. A., Proc. SPIE, 3999, 375 (2000).Google Scholar
28. Willson, C. G., Proceedings of Interface 2000: Arch Microlithographic Symposium, November 5-7, 2000, p. 135.Google Scholar