Hostname: page-component-8448b6f56d-t5pn6 Total loading time: 0 Render date: 2024-04-24T17:56:00.676Z Has data issue: false hasContentIssue false

Epitaxial lanthanide oxide thin films on Si for high-k gate dielectric application: Growth optimization and defect passivation

Published online by Cambridge University Press:  08 February 2017

Ayan Roy Chaudhuri*
Affiliation:
Materials Science Centre, Indian Institute of Technology, Kharagpur 721302, India
Andrea Fissel
Affiliation:
Institute of Electronic Materials and Devices, Leibniz University Hannover, D-30167 Hannover, Germany
Hans Jörg Osten
Affiliation:
Institute of Electronic Materials and Devices, Leibniz University Hannover, D-30167 Hannover, Germany
*
a)Address all correspondence to this author. e-mail: ayan@matsc.iitkgp.ernet.in
Get access

Abstract

Epitaxial layers of insulating binary lanthanide oxides have been considered as potential alternative to conventional SiO2 for gate dielectric application in future Si-based MOSFET devices, which was investigated in more detail for epitaxial Gd2O3 and Nd2O3 as model systems. Additionally, the ability to integrate epitaxial dielectric barrier layers into Si structures can usher also in a variety of novel applications involving oxide/silicon/oxide heterostructures in diverse nanoelectronic and quantum-effect devices. Although epitaxial layers of such ionic oxides with excellent structural quality can be grown using molecular beam epitaxy, they often exhibit poor electrical properties such as high leakage current density, flat band instability, poor reliability etc. owing to the presence of electrically active charge defects, generated either during the oxide layer growth or typical subsequent CMOS process steps. Based on the origin and individual character of these defects, we review various aspects of defect prevention and passivation which lead to a significant improvement in the dielectric properties of the heterostructures.

Type
Invited Feature Paper
Copyright
Copyright © Materials Research Society 2017 

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

Footnotes

Contributing Editor: Don W. Shaw
This paper has been selected as an Invited Feature Paper.

References

REFERENCES

Moore, G.E.: Cramming more components onto integrated circuits. Electronics 38, 114ff (1965).Google Scholar
Green, M.L., Gusev, E.P., Degraeve, R., and Garfunkel, E.L.: Ultrathin (<4 nm) SiO2 and Si–O–N gate dielectric layers for silicon microelectronics: Understanding the processing, structure, and physical and electrical limits. J. Appl. Phys. 90, 2057 (2001).CrossRefGoogle Scholar
Pahionni, G.: Defects in SiO2 and related dielectrics: Science and Technology (Kluwer Academic Publishers, Dordrecht, 2000); p. 1.Google Scholar
Osten, H.J., Czernohorsky, M., Dargis, R., Laha, A., Kuehne, D., Bugiel, E., and Fissel, A.: Integration of functional epitaxial oxides into silicon: From high-k application to nanostructures. Microelectron. Eng. 84, 2222 (2007).CrossRefGoogle Scholar
Timp, G., Bude, J., Bourdelle, K.K., Garno, J., Ghetti, A., Gossmann, H., Green, M., Forsyth, G., Kim, Y., Kleiman, R., Klemens, F., Kornblit, A., Lochstampfor, C., Mansfield, W., Moccio, S., Sorsch, T., Tennant, D.M., Timp, W., and Tung, R.: The ballistic nano-transistor. IEDM Tech. Dig. 99, 55 (1999).Google Scholar
The latest edition of the ITRS road map can be found at http:/www.itrs2.net.Google Scholar
Ma, Z.J., Chen, J.C., Liu, Z.H., Crick, J.T., Cheng, Y.C., Hu, C., and Ko, P.K.: Suppression of boron penetration in P+ polysilicon gate P-MOSFET’s using low-temperature gate-oxide N2O anneal. IEEE Electron Device Lett. 15, 109 (1994).CrossRefGoogle Scholar
Cao, M., Voorde, P.V., Cox, M., and Greene, W.: Boron diffusion and penetration in ultrathin oxide with poly-Si gate. IEEE Electron Device Lett. 19, 291 (1998).Google Scholar
Robertson, J.: High dielectric constant gate oxides for metal oxide Si transistors. Rep. Prog. Phys. 69, 327 (2006).CrossRefGoogle Scholar
Gusev, E.P., Lu, H-C., Garfunkel, E.L., Gustafsson, T., and Green, M.L.: Growth and characterization of ultrathin nitrided silicon oxide films. IBM J. Res. Dev. 43, 265 (1999).CrossRefGoogle Scholar
Plummer, J.D. and Griffin, P.B.: Material and process limits in silicon VLSI technology. Proc. IEEE 89, 240 (2001).CrossRefGoogle Scholar
Wilk, G.D., Wallace, R.M., and Anthony, J.M.: High-k gate dielectrics: Current status and materials properties considerations. Appl. Phys. Rev. 89, 5243 (2001).CrossRefGoogle Scholar
Hubbard, K.J. and Schlom, D.G.: Thermodynamic stability of binary oxides in contact with silicon. J. Mater. Res. 11, 2757 (1996).CrossRefGoogle Scholar
Cho, K.: First-principles modeling of high-k gate dielectric materials. Comput. Mater. Sci. 23, 43 (2002).CrossRefGoogle Scholar
Robertson, J.: Band offsets of wide-band-gap oxides and implications for future electronic devices. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct. 18, 1785 (2000).CrossRefGoogle Scholar
Lucovsky, J.: Transition from thermally grown gate dielectrics to deposited gate dielectrics for advanced silicon devices: A classification scheme based on bond ionicity. J. Vac. Sci. Technol., A 19, 1553 (2001).CrossRefGoogle Scholar
Wilk, G.D. and Wallace, R.M.: Electrical properties of hafnium silicate gate dielectrics deposited directly on silicon. Appl. Phys. Lett. 74, 2854 (1999).CrossRefGoogle Scholar
Potter, R.J., Marshall, P.A., Chalker, P.R., Taylor, S., Jones, A.C., Noakes, T.C.Q., and Bailey, P.: Characterization of hafnium aluminate gate dielectrics deposited by liquid injection metalorganic chemical vapor deposition. Appl. Phys. Lett. 84, 4119 (2004).CrossRefGoogle Scholar
Rittersma, Z.M., Naburgh, E., Dao, T., Hendriks, A.H.C., Besling, W.F.A., Tois, E., Vainonen-Ahlgren, E., Tuominen, M., and Haukka, S.: Physical and electrical properties of Zr-silicate dielectric layers deposited by atomic layer deposition. Electrochem. Solid-State Lett. 6, F21 (2003).CrossRefGoogle Scholar
Kirsch, P.D., Kang, C.S., Lozano, J., Lee, J.C., and Ekerdt, J.G.: Electrical and spectroscopic comparison of HfO2/Si interfaces on nitrided and unnitrided Si(100). J. Appl. Phys. 91, 4353 (2002).CrossRefGoogle Scholar
Mistry, K., Allen, C., Auth, C., Beattie, B., Bergstrom, D., Bost, M., Brazier, M., Buehler, M., Cappellani, A., Chau, R., Choi, C-H., Ding, G., Fischer, K., Ghani, T., Grover, R., Han, W., Hanken, D., Hattendorf, M., He, J., Hicks, J., Huessner, R., Ingerly, D., Jain, P., James, R., Jong, L., Joshi, S., Kenyon, C., Kuhn, K., Lee, K., Liu, H., Maiz, J., McIntyre, B., Moon, P., Neirynck, J., Pae, S., Parker, C., Parsons, D., Prasad, C., Pipes, L., Prince, M., Ranade, P., Reynolds, T., Sandford, J., Shifren, L., Sebastian, J., Seiple, J., Simon, D., Sivakumar, S., Smith, P., Thomas, C., Troeger, T., Vandervoorn, P., Williams, S., and Zawadzki, K.: A 45 nm logic technology with high-k + metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging. Proc. Tech. Dig. Int. Electron Dev. Meeting, 247 (2007).Google Scholar
Osten, H.J., Laha, A., Czernohorsky, M., Bugiel, E., Dargis, R., and Fissel, A.: Introducing crystalline rare-earth oxides into Si technologies. Phys. Status Solidi A 205, 695 (2008).CrossRefGoogle Scholar
Samsonov, G.V.: The Oxide Handbook, 2nd ed. (IFI/Plenum, New York, 1982).CrossRefGoogle Scholar
Robertson, J. and Xiong, K.: Electronic structure and band offsets of lanthanide oxides. Top. Appl. Phys. 106, 313 (2007).CrossRefGoogle Scholar
Foëx, M. and Traverse, J.P.: Investigations about crystalline transformation in rare earths sesquioxides at high temperatures (in French). Rev. Int. Hautes Temp. Refract. 3, 429 (1966).Google Scholar
Nohira, H., Tsai, W., Besling, W., Young, E., Petry, J., Conard, T., Vandervorst, W., De Gendt, S., Heyns, M., Maes, J., and Tuominen, M.: Characterization of ALCVD-Al2O3 and ZrO2 layer using X-ray photoelectron spectroscopy. J. Non-Cryst. Solids 303, 83 (2002).CrossRefGoogle Scholar
Badylevich, M., Shamuilia, S., Afanas’ev, V.V., Stesmans, A., Laha, A., Osten, H.J., and Fissel, A.: Investigation of the electronic structure at interfaces of crystalline and amorphous Gd2O3 thin layers with silicon substrates of different orientations. Appl. Phys. Lett. 90, 252101 (2007).CrossRefGoogle Scholar
Roy Chaudhuri, A., Fissel, A., and Osten, H.J.: Superior dielectric properties for template assisted grown (100) oriented Gd2O3 thin films on Si(100). Appl. Phys. Lett. 104, 012906 (2014).CrossRefGoogle Scholar
Fissel, A., Elassar, Z., Kirfel, O., Bugiel, E., Czernohorsky, M., and Osten, H.J.: Interface formation during molecular beam epitaxial growth of neodymium oxide on silicon. J. Appl. Phys. 99, 074105 (2006).CrossRefGoogle Scholar
Fissel, A., Osten, H.J., and Bugiel, E.: Towards understanding epitaxial growth of alternative high-KK dielectrics on Si(001): Application to praseodymium oxide. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct. 21, 1765 (2003).CrossRefGoogle Scholar
Osten, H.J., Liu, J.P., Bugiel, E., Mussig, H.J., and Zaumseil, P.: Growth of crystalline praseodymium oxide on silicon. J. Cryst. Growth 235, 229 (2002).CrossRefGoogle Scholar
Netzer, F.P.: Rare earth overlayers on silicon. J. Phys.: Condens. Matter 7, 991 (1995).CrossRefGoogle Scholar
Norton, D.P., Park, C., Lee, Y.E., and Budai, J.D.: Strontium silicide termination and silicate epitaxy on (001). J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct. 20, 257 (2002).CrossRefGoogle Scholar
Fissel, A., Dabrowski, J., and Osten, H.J.: Photoemission and ab initio theoretical study of interface and film formation during epitaxial growth and annealing of praseodymium oxide on Si(001). J. Appl. Phys. 91, 8986 (2002).CrossRefGoogle Scholar
Bugiel, E., Osten, H.J., Fissel, A., Kirfel, O., and Czernohosky, M.: TEM investigations of epitaxial high-k dielectrics on silicon. Microscopy of Semiconducting Materials 107, 343 (2005).CrossRefGoogle Scholar
Schmeißer, D., Dabrowski, J., and Müssig, H.J.: Pr2O3/Si(001) interface reactions and stability. Mater. Sci. Eng., B 109, 30 (2004).CrossRefGoogle Scholar
Czernohorsky, M., Bugiel, E., Osten, H.J., Fissel, A., and Kirfel, O.: Impact of oxygen supply during growth on the electrical properties of crystalline Gd2O3 thin films on Si(001). Appl. Phys. Lett. 88, 152905 (2006).CrossRefGoogle Scholar
Gottlob, H.D.B., Echtermeyer, T., Schmidt, M., Mollenhauer, T., Efavi, J.K., Wahlbrink, T., Lemme, M.C., Czernohorsky, M., Bugiel, E., Fissel, A., Osten, H.J., and Kurz, H.: 0.86-nm CET gate stacks with epitaxial Gd2O3 high-k dielectrics and FUSI NiSi metal electrodes. IEEE Electron Device Lett. 27, 814 (2006).CrossRefGoogle Scholar
Sun, Q.Q., Laha, A., Ding, S.J., Zhang, D.W., Osten, H.J., and Fissel, A.: Effective passivation of slow interface states at the interface of single crystalline Gd2O3 and Si(100). Appl. Phys. Lett. 92, 152908 (2008).CrossRefGoogle Scholar
Laha, A., Fissel, A., and Osten, H.J.: Effect of Ge passivation on interfacial properties of crystalline Gd2O3 thin films grown on Si substrates. Appl. Phys. Lett. 96, 072903 (2010).CrossRefGoogle Scholar
Lujan, G.S., Magnus, W., Ragnarsson, L.A., Kubicek, S., Gendt, S.D., Heyns, M., and Meyer, K.D.: Modelling mobility degradation due to remote Coulomb scattering from dielectric charges and its impact on MOS device performance. Microelectron. Reliab. 45, 794 (2005).CrossRefGoogle Scholar
Casse, M., Thevenod, L., Guillaumot, B., Tosti, L., Martin, F., Mitard, J., Weber, O., Andrieu, F., Ernst, T., Reimbold, G., Billon, T., Mouis, M., and Boulanger, F.: Carrier transport in HfO2/metal gate MOSFETs: Physical insight into critical parameters. IEEE Trans. Electron Devices 53, 759 (2006).CrossRefGoogle Scholar
Nazarov, A.N., Gomeniuk, Y.V., Gomeniuk, Y.Y., Gottlob, H.D.B., Schmidt, M., Lemme, M.C., Czernohorsky, M., and Osten, H.J.: Charge trapping in ultrathin Gd2O3 high-k dielectric. Microelectron. Eng. 84, 1968 (2007).CrossRefGoogle Scholar
Xiong, K., Robertson, J., Gibson, M., and Clark, S.J.: Defect energy levels in HfO2 high-dielectric-constant gate oxide. Appl. Phys. Lett. 87, 183505 (2005).CrossRefGoogle Scholar
Xiong, K., Robertson, J., and Clark, S.J.: Defect states in the high-dielectric-constant gate oxide LaAlO3 . Appl. Phys. Lett. 89, 022907 (2006).CrossRefGoogle Scholar
Holzlechner, G., Kastner, D., Slouka, C., Hutter, H., and Feig, J.: Oxygen vacancy redistribution in PbZr x Ti1−x O3 (PZT) under the influence of an electric field. Solid State Ionics 262, 625 (2014).CrossRefGoogle Scholar
Laha, A., Ai, B., Babu, P.R.P., Fissel, A., and Osten, H.J.: Impact of carbon incorporation into epitaxial Gd2O3 thin films on silicon: An experimental study on electrical properties. Appl. Phys. Lett. 99, 152902 (2011).CrossRefGoogle Scholar
Laha, A., Osten, H.J., and Fissel, A.: Influence of interface layer composition on the electrical properties of epitaxial Gd2O3 thin films for high-K application. Appl. Phys. Lett. 90, 113508 (2007).CrossRefGoogle Scholar
Wu, N., Zhang, Q., Zhu, C., Chan, D.S.H., Li, M.F., Balasubramanian, N., Chin, A., and Kwong, D.L.: Alternative surface passivation on germanium for metal-oxide-semiconductor applications with high-k gate dielectric. Appl. Phys. Lett. 85, 4127 (2004).CrossRefGoogle Scholar
Houssa, M., Pourtois, G., Kaczer, B., Jaeger, B.D., Leys, F.E., Neils, D., Paredis, K., Vantomme, A., Caymax, M., Meuris, M., and Heyns, M.M.: Experimental and theoretical study of Ge surface passivation. Microelectron. Eng. 84, 2267 (2007).CrossRefGoogle Scholar
Taoka, N., Harada, M., Yamashita, Y., Yamamoto, T.T., Sugiyama, N., and Takagi, S.I.: Effects of Si passivation on Ge metal-insulator-semiconductor interface properties and inversion-layer hole mobility. Appl. Phys. Lett. 92, 113511 (2008).CrossRefGoogle Scholar
Ma, H.F., Qin, Z.H., Xu, M.C., Shi, D.X., Gao, H-J., Wang, S., and Pantelides, S.T.: formation and evolution of a self-organized hierarchy of Ge nanostructures on Si(111)-(7 × 7): STM observations and first-principles calculations. Phys. Rev. B: Condens. Matter Mater. Phys. 75, 165403 (2007).CrossRefGoogle Scholar
Laha, A., Osten, H.J., and Fissel, A.: Impact of Si substrate orientations on electrical properties of crystalline Gd2O3 thin films for high-K application. Appl. Phys. Lett. 89, 143514 (2006).CrossRefGoogle Scholar
Rowe, J.E. and Ibach, H.: Surface and bulk contributions to ultraviolet photoemission spectra of silicon. Phys. Rev. Lett. 32, 421 (1974).CrossRefGoogle Scholar
Uhrberg, R.I.G., Hansson, G.V., Nicholls, J.M., Persson, P.E.S., and Flodström, S.A.: Photoemission study of the surface and bulk electronic structures of Si(111)7 × 7 and Si(111)√3 × √3:Al. Phys. Rev. B: Condens. Matter Mater. Phys. 31, 3805 (1985).CrossRefGoogle Scholar
Carlisle, J.A., Miller, T., and Chaing, T-C.: Ge chemisorption and alloying on the Si(111)-(7 × 7) surface. Phys. Rev. B: Condens. Matter Mater. Phys. 49, 13600 (1994).CrossRefGoogle Scholar
Martensson, P., BNi, W.X., Hansson, G.V., Michael Nicholls, J., and Reihl, B.: Surface electronic structure of Si(111)7 × 7-Ge and Si(111)5 × 5-Ge studied with photoemission and inverse photoemission. Phys. Rev. B: Condens. Matter Mater. Phys. 36, 5974 (1987).CrossRefGoogle Scholar
Kajiyama, K., Tanishiro, Y., and Takayanagi, K.: Reconstructions and phase transitions of Ge on the Si(111)7 × 7 surface: I. Structural changes. Surf. Sci. 222, 38 (1989).CrossRefGoogle Scholar
Wang, Y.L., Gao, H-J., Guo, H.M., Wang, S., and Pantelides, S.T.: Bonding configurations and collective patterns of Ge atoms adsorbed on Si(111)-(7 × 7). Phys. Rev. Lett. 94, 106101 (2005).CrossRefGoogle Scholar
Xu, M.C., Ma, H.F., Ji, W., Yang, B., and Gao, H-J.: Growth of Ge hexagonal meshwork films on Si(111)-7 × 7. Nanotechnology 17, 4413 (2006).CrossRefGoogle Scholar
Pourtois, G., Houssa, M., Jaeger, B.D., Kaczer, B., Leys, F., Meuris, M., Caymax, M., Groeseneken, G., and Heyns, M.: Threshold voltage shifts in Si passivated (100)Ge p-channel field effect transistors: Insights from first-principles modeling. Appl. Phys. Lett. 91, 023506 (2007).CrossRefGoogle Scholar
Fontes, E., Patel, J.R., and Comin, F.: Direct measurement of the asymmetric dimer buckling of Ge on Si(001). Phys. Rev. Lett. 70, 2790 (1993).CrossRefGoogle ScholarPubMed
Patthey, L., Bullock, F.L., Abukawa, T., Kono, S., and Johansson, L.S.O.: Mixed Ge–Si dimer growth at the Ge/Si(001)-(2 × 1) surface. Phys. Rev. Lett. 75, 2538 (1995).CrossRefGoogle Scholar
Oyanagi, H., Sakamoto, K., Shioda, R., Kuwahara, Y., and Haga, K.: Ge overlayers on Si(001) studied by surface-extended X-ray-absorption fine structure. Phys. Rev. B: Condens. Matter Mater. Phys. 52, 5824 (1995).CrossRefGoogle ScholarPubMed
Laha, A., Fissel, A., and Osten, H.J.: Effective control on flat band voltage of epitaxial lanthanide oxide based metal oxide semiconductor capacitors by interfacial carbon. Appl. Phys. Lett. 102, 202902 (2013).CrossRefGoogle Scholar
Shekhter, P., Roy Chaudhuri, A., Laha, A., Yehezkel, S., Shriki, A., Osten, H.J., and Eizenberg, M.: The influence of carbon doping on the performance of Gd2O3 as high-k gate dielectric. Appl. Phys. Lett. 105, 262901 (2014).CrossRefGoogle Scholar
Bonnet, G., Lachkar, M., Colson, J.C., and Larpin, J.P.: Characterization of thin solid films of rare earth oxides formed by the metallo-organic chemical vapour deposition technique, for high temperature corrosion applications. Thin Solid Films 261, 31 (1995).CrossRefGoogle Scholar
Pan, T.M., Wu, X-C., and Yen, L-C.: Effects of postdeposition annealing on physical and electrical properties of high-k Yb2TiO5 dielectrics. J. Vac. Sci. Technol., A 28, 1084 (2010).CrossRefGoogle Scholar
Umezawa, N., Shiraishi, K., Ohno, T., Watanabe, H., Chikyow, T., Torii, K., Yamabe, K., Yamada, K., Kitajima, H., and Arikado, T.: First-principles studies of the intrinsic effect of nitrogen atoms on reduction in gate leakage current through Hf-based high-k dielectrics. Appl. Phys. Lett. 86, 143507 (2005).CrossRefGoogle Scholar
Seo, K., Sreenivasan, R., McIntyre, P., and Saraswat, K.: Improvement in high-k (HfO2/SiO2) reliability by incorporation of fluorine. IEEE Electron Device Lett. 27, 821 (2006).CrossRefGoogle Scholar
Choi, C-H., Rhee, S.J., Jeon, T.S., Lu, N., Sim, J.H., Clark, R., Niwa, M., and Kwong, D.L.: Thermally stable CVD HfO x N y advanced gate dielectrics with poly-Si gate electrode. In Digest. International Electron Devices Meeting (IEEE, Piscataway, 2002); p. 857.CrossRefGoogle Scholar
Wanga, X.P., Lia, M.F., Chin, A., Zhu, C.X., Shao, J., Lu, W., Shen, X.C., Yu, X.F., Chi, R., Shen, C., Huan, A.C.H., Pan, J.S., Du, A.Y., Lo, P., Chan, D.S.H., and Kwong, D.L.: Physical and electrical characteristics of high-κ gate dielectric Hf(1−x)La x O y . Solid-State Electron. 50, 986 (2006).CrossRefGoogle Scholar
Roy Chaudhuri, A., Fissel, A., Archakam, V.R., and Osten, H.J.: Improving dielectric properties of epitaxial Gd2O3 thin films on silicon by nitrogen doping. Appl. Phys. Lett. 102, 022904 (2013).CrossRefGoogle Scholar
Roy Chaudhuri, A., Fissel, A., and Osten, H.J.: Investigation of band offsets and direct current leakage properties of nitrogen doped epitaxial Gd2O3 thin films on Si. J. Appl. Phys. 113, 184108 (2013).CrossRefGoogle Scholar
Dutta, G., Hembram, K.P.S.S., Mohan Rao, G., and Waghmare, U.V.: Effects of O vacancies and C doping on dielectric properties of ZrO2: A first-principles study. Appl. Phys. Lett. 89, 202904 (2006).CrossRefGoogle Scholar
Chu, K., Chang, J.P., Steigerwald, M.L., Flemimg, R.M., Opila, R.L., Lang, D.V., Van Dover, R.B., and Jones, C.D.W.: Material and electrical characterization of carbon-doped Ta2O5 films for embedded dynamic random access memory applications. J. Appl. Phys. 91, 308 (2002).CrossRefGoogle Scholar
Laha, A., Bin, A., Babu, P.R.P., Fissel, A., and Osten, H.J.: Enhanced electrical properties of carbon doped epitaxial Gd2O3 thin films on Si substrates. ECS Trans. 41, 101 (2011).CrossRefGoogle Scholar
Shang, G., Peacock, P.W., and Robertson, J.: Stability and band offsets of nitrogenated high-dielectric-constant gate oxides. Appl. Phys. Lett. 84, 106 (2004).CrossRefGoogle Scholar
Gillen, R., Clark, S.J., and Robertson, J.: Nature of the electronic band gap in lanthanide oxides. Phys. Rev. B: Condens. Matter Mater. Phys. 87, 125116 (2013).CrossRefGoogle Scholar
Robertson, J., Xiong, K., and Clark, S.J.: Defect energy levels in high-k gate oxides. In Defects in High-k Gate Dielectric Stacks NATO Science Series, Vol. 220, Gusev, E., ed. (Springer, The Netherlands, 2006); p. 175.CrossRefGoogle Scholar
Guha, S. and Naryan, V.: Oxygen vacancies in high dielectric constant oxide-semiconductor films. Phys. Rev. Lett. 98, 196101 (2007).CrossRefGoogle ScholarPubMed
Fleming, R.M., Lang, D.V., Jones, C.D.W., Steigerwald, M.L., Murphy, D.W., Alers, G.B., Wong, Y-H., van Dover, R.b., Kwo, J.R., and Sergent, A.M.: Defect dominated charge transport in amorphous Ta2O5 thin films. J. Appl. Phys. 88, 850 (2000).CrossRefGoogle Scholar
Broqvist, P. and Pasquarello, A.: Oxygen vacancy in monoclinic HfO2: A consistent interpretation of trap assisted conduction, direct electron injection, and optical absorption experiments. Appl. Phys. Lett. 89, 262904 (2006).CrossRefGoogle Scholar
Xiong, K. and Robertson, J.: Oxygen vacancies in high dielectric constant oxides La2O3, Lu2O3, and LaLuO3 . Appl. Phys. Lett. 95, 022903 (2009).CrossRefGoogle Scholar
Liu, D., Clark, S.J., and Robertson, J.: Oxygen vacancy levels and electron transport in Al2O3 . Appl. Phys. Lett. 96, 032905 (2010).CrossRefGoogle Scholar
Tse, K., Liu, D., Xiong, K., and Robertson, J.: Oxygen vacancies in high-k oxides. Microelectron. Eng. 84, 2028 (2007).CrossRefGoogle Scholar
Meyer, R., Liedtke, R., and Waser, R.: Oxygen vacancy migration and time-dependent leakage current behavior of Ba0.3Sr0.7TiO3 thin films. Appl. Phys. Lett. 86, 112904 (2005).CrossRefGoogle Scholar
Wang, J. and McKinstry, S.T.: Oxygen vacancy motion in Er-doped barium strontium titanate thin films. Appl. Phys. Lett. 89, 172906 (2006).CrossRefGoogle Scholar
Li, H. and Robertson, J.: Defect compensation in LaAlO3 perovskite-based high dielectric constant oxides. J. Appl. Phys. 112, 034108 (2012).CrossRefGoogle Scholar
McPherson, J., Kim, J-Y., Shanware, A., and Mogul, H.: Thermochemical description of dielectric breakdown in high dielectric constant materials. Appl. Phys. Lett. 82, 2121 (2003).CrossRefGoogle Scholar
McPherson, J., Khamankar, R.B., and Shanware, A.: Complementary model for intrinsic time-dependent dielectric breakdown in SiO2 dielectrics. J. Appl. Phys. 88, 5351 (2000).CrossRefGoogle Scholar